Next Article in Journal
Impact of Hydrostatic Pressure on Molecular Structure and Dynamics of the Sodium and Chloride Ions in Portlandite Nanopores
Next Article in Special Issue
Interfacial Reactions between Sn-Based Solders and n-Type Bi2(Te,Se)3 Thermoelectric Material
Previous Article in Journal
Comparative Study of the Impurity Effect on SnAgCu and SnZn Solder Joints with Electrodeposited Cu
Previous Article in Special Issue
Weldability and Mechanical Properties of Pure Copper Foils Welded by Blue Diode Laser
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Effect of Cu Film Thickness on Cu Bonding Quality and Bonding Mechanism

Department of Materials Science and Engineering, National Yang Ming Chiao Tung University, Hsinchu 30010, Taiwan
*
Author to whom correspondence should be addressed.
Materials 2024, 17(9), 2150; https://doi.org/10.3390/ma17092150
Submission received: 3 April 2024 / Revised: 28 April 2024 / Accepted: 2 May 2024 / Published: 4 May 2024
(This article belongs to the Special Issue Welding, Joining, and Additive Manufacturing of Metals and Alloys)

Abstract

:
In the hybrid bonding process, the final stage of chemical mechanical polishing plays a critical role. It is essential to ensure that the copper surface is recessed slightly from the oxide surface. However, this recess can lead to the occurrence of interfacial voids between the bonded copper interfaces. To examine the effects of copper film thickness on bonding quality and bonding mechanisms in this study, artificial voids were intentionally introduced at the bonded interfaces at temperatures of 250 °C and 300 °C. The results revealed that as the thickness of the copper film increases, there is an increase in the bonding fraction and a decrease in the void fraction. The variations in void height with different copper film thicknesses were influenced by the bonding mechanism and bonding fraction.

1. Introduction

For decades, transistors have been consistently miniaturized to comply with Moore’s Law. One of the promising candidates for extending Moore’s Law is three-dimensional integrated circuits (3DICs). 3DIC interconnection has emerged as an advanced packaging technology and has been extensively researched [1,2,3,4,5]. In the past, with the miniaturization of solder joints, various reliability issues have arisen, such as side wetting and bridge failure [6,7]. To address these concerns, the hybrid bonding technique was proposed [8,9,10].
Hybrid bonding techniques, which combine Cu bonding and oxide bonding, have emerged as important methods for 3DIC applications [8,9,10,11]. In the hybrid bonding process, the final chemical mechanical polishing (CMP) stage is crucial for achieving a successful bond. During this stage, it is essential to achieve a flat oxide surface while ensuring that the Cu is slightly recessed from the oxide surface. The degree of “Cu recess” depends on several factors, including temperature and Cu film thickness. However, interfacial voids can occur between bonded Cu interfaces due to this recess. This may lead to poor connections or even electrical discontinuity.
Through-silicon vias (TSVs) play a crucial role in the packaging of 3DICs. In addition to TSVs, hybrid bonding techniques that involve both Cu bonding and oxide bonding have also been developed for 3DIC applications. Typically, oxide–oxide bonding occurs at room temperature before the temperature is raised to around 300 °C for Cu–Cu bonding [12,13,14,15,16]. The requirement to elevate the temperature is attributed to the higher coefficient of thermal expansion (CTE) of Cu compared to the surrounding SiO2 [17]. At elevated temperatures, the Cu pad protrudes, establishing contact with the Cu pad on the opposite side, thus forming a permanent interconnection.
The success of the hybrid bonding process relies on the final chemical mechanical polishing (CMP) stage of the copper [18,19]. During this stage, it is essential to achieve a flat oxide surface while ensuring that the copper is slightly recessed from the oxide surface [12,13,14]. The degree of “Cu recess” required depends on several factors, including the bonding temperature [20,21], expected service temperature, and the depth of TSV (Cu film thickness). Although achieving the correct Cu recess is crucial, interfacial voids can arise between bonded Cu interfaces because of this recess [22,23,24].
In this study, artificial voids were introduced at bonded interfaces to investigate the effect of Cu film thickness on the bonding quality and bonding mechanism at temperatures of 250 °C and 300 °C.

2. Experimental

2.1. Cu Film Electrodeposition

Electroplated Cu film on Si wafer was used in this study. A 50 nm of Ta was sputtered onto a Si wafer as the adhesion layer, followed by the sputtering of a 200 nm thick Cu seed layer. The Si substrate was then immersed in the electrolyte during electroplating. After the electroplating process, the surface of the Cu film was flattened using chemical mechanical polishing (CMP).
Three different thicknesses of Cu films were used in the study: 0.8 μm for sample 1, 2.0 μm for sample 2, and 2.7 μm for sample 3. These different thicknesses allowed for the investigation of the influence of Cu film thickness on the bonding quality and bonding mechanism.

2.2. Pre-Treatment of the Specimens

Wafers were then diced into 1 × 1 cm2 pieces. To investigate the evolution of voids, artificial voids were formed on a flat unetched surface (denoted as F surface) and a wet-etched surface (W surface). The sample fabrication processes are described in detail in reference [22,23].

2.3. Material Characterizations

Prior to bonding, the surface roughness of the Cu film (over a 10 × 10 µm2 area) was measured using atomic force microscopy (AFM, Bruker Dimension Icon Scanning Probe Microscope (ICON)) (Bruker, Hsinchu, Taiwan). The root mean square (Rq) roughness of the F surface of sample 1 was measured to be 1.53 nm, while that of the W surface was 14.8 nm. Cross-sectional scanning electron microscopy (SEM) images of sample 1, measured by using focused ion beam (FIB, Helios NanoLab 650) (FEI, Hillsboro, Oregon, United States) techniques, revealed that the F surface was very flat, while the W surface had protrusion tips and concave dishes as shown in Figure 1. The samples were mated together at room temperature. A schematic illustration of the bonded interface, based on the cross-sectional SEM images of the W and F surfaces, is shown in Figure 2.
After the bonding and annealing processes, the samples were subjected to grinding and polishing. Cross-sectional specimens for SEM analysis were then prepared using FIB techniques. This allowed for detailed observation and characterization of the voids and interfaces in the samples.

2.4. Bonding Process

The samples were arranged in a differential thermal expansion fixture that was composed of stainless steel and aluminum. This fixture was identical to the one proposed in our previous work [25]. Initially, at room temperature, a minimal compressive load was applied to the sample stack. As the processing temperature increased, the compressive stress on the sample stack increased due to the differential thermal expansion between the various materials. Assuming uniform distribution of stress on the 1 × 1 cm2 sample, the calculated uniform compressive stress, σ, was 53.64 MPa at 250 °C and 65.56 MPa at 300 °C. However, it is important to note that the actual stress experienced by the sample could not be accurately determined due to the occurrence of creep deformation in the Cu films at elevated temperatures. Further details regarding the deformation are discussed in depth in the section dedicated to Cu bonding mechanism.
Artificial voids were formed at the bonded interfaces by bonding the samples for 0.5 h at 250 °C (referred to as B250t0.5) and 300 °C (B300t0.5). The bonding process took place in an ordinary vacuum of 1.33 × 10−1 Pa. To observe the evolution of the voids, subsequent vacuum annealing was performed at the same bonding temperature for an additional 0.5 h, resulting in samples denoted as B250t1 and B300t1.

3. Results and Discussion

Figure 3 presents cross-sectional SEM images of B250, illustrating the morphologies of the voids. These images were utilized to evaluate the bonding quality using metrics such as bonding fraction (BF), void fraction (VF), and void height (VH). BF is determined by estimating the bonded length (projection of bonded/contact areas on the image) versus the “interfacial length” (5 µm), as illustrated in Figure 3a. VF is determined by comparing the void areas to the areas around the interface (0.3 × 5 µm2), while VH represents the height of voids, as depicted in Figure 3b,c. By analyzing the BF, VF, and VH, the bonding quality and mechanism of the samples were evaluated.
Table 1 presents the measured values of BF, VF, and VH for the bonded interfaces of the samples bonded at 250 °C (B250). It reveals a notable increase in BF with the increasing thickness of the Cu film. The BF of 3B250t0.5 was 93.06%, and higher than that of 2B250t0.5 (61.14%) and 1B250t0.5 (31.24%). Similarly, the BF of 3B250t1 was 95.10%, and greater than that of 2B250t1 (86.66%) and 1B250t1 (69.75%). The primary reason for the increase in BF can be attributed to the greater creep/elastic deformation of the Cu film as its thickness increases. This will be further discussed in the following paragraphs.
Two mechanisms, diffusion and deformation by yielding or creep, were employed to describe the morphology of voids in Cu bonding [26]. The related deformed morphologies have been simplified as voids closed by deformation to have sharp necks and voids closed by diffusion flow to have rounded necks.
In our previous studies, we extensively investigated these two mechanisms, specifically focusing on the morphologies of void surfaces [22,23,24]. The creep deformation mechanism is primarily driven by a high stress concentration and stress gradient, while the diffusion mechanism is influenced by a reduction in surface free energy. In the case of creep deformation, Cu atoms diffuse from the high compressive stress regions (around contact areas) towards stress-free and tensile stress regions (such as the neck, dish, and flat regions) in order to relieve stress [22,23,24].
In a relevant study on bonding in (111)-oriented nano-twinned Cu, Juang et al. [27] employed a diffusion creep mechanism. Their experiment assumed an average distance, l, between the center of a contacted/bonded region and an un-contacted/void region, which led to the determination of the creep rate.
In this study, a similar approach was adopted to investigate the influence of Cu film thickness on the bonding quality and bonding mechanism. As depicted in Figure 4, for the purpose of analysis and calculation simplification (reducing variables), it is assumed that the void shape is spherical with an average radius of rl (where r < 1). Based on this assumption, the bonding fraction can be estimated as follows:
BF % = 2 l 2 r l 2 l × 100 = 1 r × 100
The total thickness of the Cu film is denoted as h, and Δh represents the change in thin film thickness under compression. The elastic strain, ε, can be calculated as follows:
ε = Δ h h = σ Y ,
where σ represents the uniform compressive stress at the contacted area and Y is the Young’s modulus of Cu. As mentioned earlier, the calculated compressive stress for the samples at 250 °C was determined to be 53.64 MPa, indicating that the applied compressive stress (σ) was the same for all three samples. This implies that the strain (ε) experienced by the samples was also the same.
The change in thin film thickness, Δh, can be inferred to be directly proportional to the initial thickness, h. The initial length of h3 was 5.4 μm, which was 1.35 times greater than h2 (4.0 μm) and 3.38 times greater than h1 (1.6 μm). Therefore, Δh3 would be approximately 1.35 times greater than Δh2 and 3.38 times greater than Δh1.
To study the effect of Cu thickness on the bonding fraction (BF), two volumes were considered: the strained volume (Vstrained) and the reduced void volume (ΔVvoid). The objective of creep deformation is to relocate all the atoms within the strained volume (Vstrained) from the bonded region to the void region [27]. The strained volume can be estimated as follows:
V s t r a i n e d = A Δ h ,
where A represents the contacted area given as follows:
A = [ l 2 r l 2 ] π .
Thus, the strained volume can be expressed as follows:
V s t r a i n e d = [ 1 r 2 ] π l 2 Δ h .
On the other hand, the reduced void volume (∆Vvoid) can be determined by considering the change in void radius after creep deformation. It can be calculated as follows:
V v o i d = 4 π l r 3 l r 3 3 ,
where lr′ represents the new void radius after the creep deformation. When we equate the two expressions of volume, we obtain the following:
1 r 2 π l 2 Δ h = 4 π l r 3 l r 3 3 ,
( r ) 3 = r 3 3 1 r 2 Δ h 4 l
This indicates that as Δh increases, r′ decreases. As mentioned before,
BF = 1 r ,
which means that BF increases as r′ decreases. Therefore, BF increased with the increase in Δh.
Since Δh3 was greater than Δh2 and Δh1, the bonding fraction (BF) of 3B250t0.5 was greater than that of 2B250t0.5 and 1B250t0.5. Similarly, the BF of 3B250t1 was greater than that of 2B250t1 and 1B250t1.
It can be concluded that the same trend applies to samples bonded at 300 °C (B300), as seen in Table 2 and Figure 5. The BF of the 3B300t0.5 sample was 98.62%, which was higher than that of 2B300t0.5 (88.79%) and 1B300t0.5 (54.30%). Similarly, the BF of the 3B300t1 sample was 95.58%, which was greater than that of the 2B300t1 (90.70%) and 1B300t1 (77.43%) samples.
Table 1 also indicates that the VF decreased as the thickness of the Cu film increased. For instance, the VF of the 3B250t0.5 sample was 0.75%, which was lower than that of 2B250t0.5 (1.27%) and 1B250t0.5 (2.70%). Similarly, the VF of the 3B250t1 sample was 0.93%, which was lower than that of 2B250t1 (0.95%) and 1B250t1 (1.49%). This observation remains valid for samples bonded at 300 °C (B300), as evidenced by the data presented in Table 2. The decrease in VF can be explained by the corresponding increase in both creep deformation and BF as the thickness of the Cu film increases.
As previously mentioned, VF was determined by calculating the ratio of the void areas against the areas around the interface. According to Figure 4, the estimate of VF is adjusted using the following equation:
VF = π ( l r ) 2 / 2 l H .
Here, H represents the height of the areas around the interface, as shown in Figure 3b. It can be observed that VF increases with (r′)2, which in turn increases with a decrease in Δh. Hence, as Δh decreases, the VF increases. Consequently, sample 1 has a greater VF compared to samples 2 and 3.
The changes in VH with varying Cu film thickness were influenced by the bonding mechanism. This will be discussed in the following paragraphs.
As mentioned earlier, the diffusion mechanism occurs through a reduction in surface free energy. As illustrated in Figure 2, there are four types of free energies associated with the morphologies of void surfaces: free energy at the protrusion tip (G+tip), free energy at the flat surface (Gflat), free energy at the concave dishing (G−dish), and free energy at the void neck (G−neck). The diffusion of Cu atoms from protrusion tips to flat surfaces, dishing regions, and void necks reduces the free energy, resulting in an increase in BF and VH [23].
Additionally, as Cu atoms diffuse from the flat surface (F surface) towards the void necks, some F surfaces undergo a change in their radius of curvature (Rflat) from infinite to negative or faceted, leading to the formation of lenticular and faced voids, as shown in Figure 3c,f. These findings are in agreement with those of Gondcharton et al. [28], who studied Cu–Cu bonded structures. This “F surface” diffusion also contributes to an increase in VH.
As shown in Figure 3a,b, the relatively sharp morphologies of the void necks in 1B250t0.5 and 2B250t0.5 suggest that the evolution of voids in these samples was primarily influenced by creep deformation. In contrast, the rounded neck and lenticular shape of the 3B250t0.5 voids (Figure 3c) suggests a different mechanism, namely diffusion.
Considering that the bonding mechanism of both 1B250t0.5 and 2B250t0.5 was dominated by deformation and taking into account that the VF (void fraction) of 2B250t0.5 was less than that of 1B250t0.5, it can be inferred that the VH (void height) of 2B250t0.5 was less than that of 1B250t0.5.
However, in the case of 3B250t0.5, the VH was greater than that of 2B250t0.5, despite the VF of 3B250t0.5 being less than that of 2B250t0.5. This discrepancy can be attributed to the fact that the bonding mechanism of 3B250t0.5 was primarily dominated by diffusion, which led to an increase in the VH.
Therefore, it can be concluded that the morphology and evolution of voids in the bonded samples are influenced by both the bonding mechanism (deformation or diffusion) and the VF, resulting in variations in the VH among the different samples.
This transition in the dominant bonding mechanism can be attributed to the increase in bonding fraction (BF). This change can be understood by considering the atomic creep flux, as elucidated by Juang et al. [27] in their study on the bonding of nano-twinned Cu [29].
The flux can be represented by the following equation:
J = D σ c o n t a c t / k T l ,
where J is the creep flux, D is the diffusivity of Cu, σcontact is the actual stress at the contact area, k is Boltzmann’s constant, T is the absolute temperature, and l represents the average distance between the center of a bonded region and a void region (as illustrated in Figure 2).
The stress at the contacted area can be estimated as follows:
σ c o n t a c t   C σ / B F ,
where C is a proportionality constant and σ is the uniform compressive stress as mentioned earlier. This relationship indicates that as the bonding fraction (BF) increases, the actual stress at the contacted area (σcontact) decreases. This implies that the influence of creep deformation (creep flux) decreases with an increase in BF. When the bonding fraction is high, the contribution of creep deformation to the overall bonding mechanism diminishes, and the dominant mechanism transitions to diffusion.
As previously mentioned, the increase in Cu film thickness is associated with a rise in BF, indicating that the bonding mechanism of thicker Cu is more likely to be dominated by diffusion. Concurrently, VH also increases when the bonding mechanism is predominantly governed by diffusion.
This conclusion applies equally to the different groups of specimens B250t1, B300t0.5, and B300t1. Regardless of the specific group, when the bonding fraction (BF) increases, the influence of creep deformation gradually weakens, and the diffusion mechanism becomes the dominant bonding mechanism. Based on observations of Figure 3 and Figure 5, it is noted that in specimens 2B250t1, 3B250t1, 2B300t0.5, 2B300t1, 2B300t1, and 3B300t1, the dominant bonding mechanism is diffusion.

4. Conclusions

Artificial voids were introduced at bonded interfaces to investigate the influence of Cu film thickness (the depth of TSV) on bonding quality and bonding mechanisms at temperatures of 250 °C and 300 °C.
Three different thicknesses of Cu films were utilized: (1) 0.8 μm, (2) 2.0 μm, and (3) 2.7 μm. The findings indicate an increase in the bonding fraction (BF) and a decrease in the void fraction (VF) as the thickness of Cu film increases. This can primarily be attributed to the greater creep/elastic deformation exhibited by the Cu film as its thickness increases.
The variations in void height (VH) with different Cu film thicknesses were influenced by the bonding mechanism and BF. In general, deformation mechanisms lead to a decrease in VH, while diffusion results in an increase. The bonding mechanism of thicker Cu is more likely to be dominated by diffusion, as evidenced by the increase in BF with increasing Cu film thickness. This dominance of diffusion is attributed to the decrease in actual stress at the contacted area (σcontact) and the reduced influence of creep deformation (creep flux). These experimental findings are supported by the surface diffusion creep model.
To sum up, our study confirms through experiments and modeling that increasing the thickness of the Cu thin film is beneficial for Cu–Cu bonding. As bonding temperature and Cu joint size decrease, Cu thermal expansion also decreases [30,31,32]. For a high-quality Cu–Cu bonding interface, it is crucial to consider the depth of the Cu joints. In the future, we intend to further validate these findings using hybrid bonding, which we believe will be advantageous for 3D package applications.

Author Contributions

Conceptualization, Y.S.W. and T.-F.L.; Methodology, Y.S.W.; Validation, K.-N.H.; Formal analysis, Y.S.W. and T.-F.L.; Investigation, T.-F.L., K.-N.H., C.-C.H. and C.-Y.H.; Resources, Y.S.W.; Data curation, K.-N.H., C.-C.H., C.-Y.H. and Y.S.W.; Writing—original draft, T.-F.L.; Writing—review and editing, Y.S.W. All authors have read and agreed to the published version of the manuscript.

Funding

This project was funded by (1) the National Science and Technology Council, Taiwan, under Grant No. NSTC 111-2634-F-A49-008-, NSTC 112-2221-E-A49-036- and NSTC 111-2221-E-A49-114-, and (2) the “Center for Advanced Semiconductor Technology Research” from The Featured Areas Research Center Program within the framework of the Higher Education Sprout Project by the Ministry of Education (MOE) in Taiwan.

Data Availability Statement

The data supporting the findings of this study are available from the corresponding author upon reasonable request.

Acknowledgments

Technical supports from Semiconductor Research Institute (TSRI) and Nanoscience Technology Center and Nano Facility Center of the National Chiao Tung University are also acknowledged. Technical supports from Industrial Technology Research Institute (ITRI), Semiconductor Research Institute (TSRI), and Nanoscience Technology Center and Nano Facility Center of the National Yang Ming Chiao Tung University are also acknowledged.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Koester, S.J.; Young, A.M.; Yu, R.; Purushothaman, S.; Chen, K.-N.; La Tulipe, D.C.; Rana, N.; Shi, L.; Wordeman, M.R.; Sprogis, E.J. Wafer-level 3D integration technology. IBM J. Res. Dev. 2008, 52, 583–597. [Google Scholar] [CrossRef]
  2. Liu, D.; Park, S. Three-dimensional and 2.5 dimensional interconnection technology: State of the art. J. Electron. Packag. 2014, 136, 014001. [Google Scholar] [CrossRef]
  3. Chen, C.; Yu, D.; Chen, K.-N. Vertical interconnects of microbumps in 3D integration. MRS Bull. 2015, 40, 257–263. [Google Scholar] [CrossRef]
  4. Cho, D.H.; Seo, S.M.; Kim, J.B.; Rajendran, S.H.; Jung, J.P. A review on the fabrication and reliability of three-dimensional integration technologies for microelectronic packaging: Through-Si-via and solder bumping process. Metals 2021, 11, 1664. [Google Scholar] [CrossRef]
  5. Shie, K.-C.; Hsu, P.-N.; Li, Y.-J.; Tran, D.-P.; Chen, C. Failure Mechanisms of Cu–Cu bumps under thermal cycling. Materials 2021, 14, 5522. [Google Scholar] [CrossRef] [PubMed]
  6. Liang, Y.; Chen, C.; Tu, K.-N. Side wall wetting induced void formation due to small solder volume in microbumps of Ni/SnAg/Ni upon reflow. ECS Solid State Lett. 2012, 1, P60. [Google Scholar] [CrossRef]
  7. Oi, K.; Otake, S.; Shimizu, N.; Watanabe, S.; Kunimoto, Y.; Kurihara, T.; Koyama, T.; Tanaka, M.; Aryasomayajula, L.; Kutlu, Z. Development of new 2.5 D package with novel integrated organic interposer substrate with ultra-fine wiring and high density bumps. In Proceedings of the 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 27–30 May 2014; pp. 348–353. [Google Scholar]
  8. Wang, L.; Fountain, G.; Lee, B.; Gao, G.; Uzoh, C.; McGrath, S.; Enquist, P.; Arkalgud, S.; Mirkarimi, L. Direct Bond Interconnect (DBI®) for fine-pitch bonding in 3D and 2.5 D integrated circuits. In Proceedings of the 2017 Pan Pacific Microelectronics Symposium (Pan Pacific), Kauai, HI, USA, 6–9 February 2017; pp. 1–6. [Google Scholar]
  9. Mudrick, J.P.; Sierra-Suarez, J.A.; Jordan, M.B.; Friedmann, T.A.; Jarecki, R.; Henry, M.D. Sub-10µm Pitch Hybrid Direct Bond Interconnect Development for Die-to-Die Hybridization. In Proceedings of the 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 28–31 May 2019; pp. 648–654. [Google Scholar]
  10. Kim, S.-W.; Fodor, F.; Heylen, N.; Iacovo, S.; De Vos, J.; Miller, A.; Beyer, G.; Beyne, E. Novel Cu/SiCN surface topography control for 1 μm pitch hybrid wafer-to-wafer bonding. In Proceedings of the 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 3–30 June 2020; pp. 216–222. [Google Scholar]
  11. Kagawa, Y.; Fujii, N.; Aoyagi, K.; Kobayashi, Y.; Nishi, S.; Todaka, N.; Takeshita, S.; Taura, J.; Takahashi, H.; Nishimura, Y. Novel stacked CMOS image sensor with advanced Cu2Cu hybrid bonding. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 8.4. 1–8.4. 4. [Google Scholar]
  12. Ong, J.-J.; Chiu, W.-L.; Lee, O.-H.; Chiang, C.-W.; Chang, H.-H.; Wang, C.-H.; Shie, K.-C.; Yang, S.-C.; Tran, D.-P.; Tu, K.-N. Low-temperature Cu/SiO2 hybrid bonding with low contact resistance using (111)-oriented Cu surfaces. Materials 2022, 15, 1888. [Google Scholar] [CrossRef] [PubMed]
  13. Gao, G.; Mirkarimi, L.; Workman, T.; Fountain, G.; Theil, J.; Guevara, G.; Liu, P.; Lee, B.; Mrozek, P.; Huynh, M. Low temperature Cu interconnect with chip to wafer hybrid bonding. In Proceedings of the 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 28–31 May 2019; pp. 628–635. [Google Scholar]
  14. Gambino, J.; Winzenread, R.; Thomas, K.; Muller, R.; Truong, H.; Defibaugh, D.; Price, D.; Goshima, K.; Hirano, T.; Watanabe, Y. Reliability of hybrid bond interconnects. In Proceedings of the 2017 IEEE International Interconnect Technology Conference (IITC), HsinChu, Taiwan, 16–18 May 2017; pp. 1–3. [Google Scholar]
  15. Hung, T.-H.; Pan, Y.-M.; Chen, K.-N. Stress issue of vertical connections in 3D integration for high-bandwidth memory applications. Mem.-Mater. Devices Circuits Syst. 2023, 4, 100024. [Google Scholar] [CrossRef]
  16. Hu, H.-W.; Chen, K.-N. Development of low temperature CuCu bonding and hybrid bonding for three-dimensional integrated circuits (3D IC). Microelectron. Reliab. 2021, 127, 114412. [Google Scholar] [CrossRef]
  17. Lin, H.-E.; Tran, D.-P.; Chiu, W.-L.; Chang, H.-H.; Chen, C. In-situ measurement of thermal expansion in Cu/SiO2 hybrid structures using atomic force microscopy at elevated temperatures. Appl. Surf. Sci. 2024, 160103. [Google Scholar] [CrossRef]
  18. Kim, J.; Seo, S.-K.; Kim, H.; Kim, Y.; Jo, C.; Kim, D.-W. A study on bonding pad structure and layout for Fine pitch hybrid bonding. In Proceedings of the 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 31 May–3 June 2022; pp. 712–715. [Google Scholar]
  19. Roshanghias, A.; Kaczynski, J.; Rodrigues, A.; Karami, R.; Pires, M.; Burggraf, J.; Schmidt, A. 3D Integration via D2D Bump-Less Cu Bonding with Protruded and Recessed Topographies. ECS J. Solid State Sci. Technol. 2023, 12, 084001. [Google Scholar] [CrossRef]
  20. Dag, S.; Jiang, L.; Lianto, P.; See, G.; An, J.; Sreenivasan, R.; Sundarajjan, A.; Ayyagari-Sangamalli, B.; Bazizi, E.M. Material Innovation Through Atomistic Modelling for Hybrid Bonding Technology. In Proceedings of the 2022 IEEE 24th Electronics Packaging Technology Conference (EPTC), Singapore, 7–9 December 2022; pp. 522–526. [Google Scholar]
  21. Dag, S.; Liu, M.; Jiang, L.; Kiaee, A.; See, G.; Lianto, P.; Ayyagari-Sangamalli, B.; Bazizi, E.M. Development of Copper Thermal Coefficient For Low Temperature Hybrid Bonding. In Proceedings of the 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023; pp. 342–349. [Google Scholar]
  22. Wu, Y.S.; Lai, T.-Y.; Li, M.; Lu, T.-F.; Wang, Y.H.; Tseng, T.Y. Bonding mechanisms of roughened nanotwinned-Cu surface at temperature as low as 120 °C. ECS J. Solid State Sci. Technol. 2020, 9, 124005. [Google Scholar] [CrossRef]
  23. Wu, Y.S.; Li, M.; Lai, T.-Y.; Lu, T.-F.; Wang, Y.H.; Chang, J.-W. Effect of Compressive Stress on Evolution and Healing Kinetics of Artificial Voids in Highly (111)-Oriented Cu-Cu Wafer Bonding at 300 °C. ECS J. Solid State Sci. Technol. 2021, 10, 044004. [Google Scholar] [CrossRef]
  24. Lu, T.-F.; Lai, T.-Y.; Chu, Y.Y.; Wu, Y.S. Effect of nanotwin boundary on the Cu–Cu bonding. ECS J. Solid State Sci. Technol. 2021, 10, 074001. [Google Scholar] [CrossRef]
  25. Lu, T.-F.; Wang, P.-W.; Cheng, Y.-F.; Yen, Y.-T.; Wu, Y.S. Enhanced Nanotwinned Copper Bonding through Epoxy-Induced Copper Surface Modification. Nanomaterials 2024, 14, 771. [Google Scholar] [CrossRef]
  26. Derby, B.; Wallach, E. Diffusion bonds in copper. J. Mater. Sci. 1984, 19, 3140–3148. [Google Scholar] [CrossRef]
  27. Juang, J.-Y.; Lu, C.-L.; Li, Y.-J.; Hsu, P.-N.; Tsou, N.-T.; Tu, K.-N.; Chen, C. A solid state process to obtain high mechanical strength in Cu-to-Cu joints by surface creep on (111)-oriented nanotwins Cu. J. Mater. Res. Technol. 2021, 14, 719–730. [Google Scholar] [CrossRef]
  28. Gondcharton, P.; Imbert, B.; Benaissa, L.; Verdier, M. Voiding phenomena in copper-copper bonded structures: Role of creep. ECS J. Solid State Sci. Technol. 2015, 4, P77. [Google Scholar] [CrossRef]
  29. Tu, K.-N. Electronic Thin-Film Reliability; Cambridge University Press: Cambridge, UK, 2010. [Google Scholar]
  30. Ji, L.; Che, F.X.; Ji, H.M.; Li, H.Y.; Kawano, M. Bonding integrity enhancement in wafer to wafer fine pitch hybrid bonding by advanced numerical modelling. In Proceedings of the 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 3–30 June 2020; pp. 568–575. [Google Scholar]
  31. Beyne, E.; Kim, S.-W.; Peng, L.; Heylen, N.; De Messemaeker, J.; Okudur, O.O.; Phommahaxay, A.; Kim, T.-G.; Stucchi, M.; Velenis, D. Scalable, sub 2μm pitch, Cu/SiCN to Cu/SiCN hybrid wafer-to-wafer bonding technology. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 32.34. 31–32.34. 34. [Google Scholar]
  32. Tsau, Y.W.; De Messemaeker, J.; Salahouelhadj, A.; Gonzalez, M.; Witters, L.; Zhang, B.; Seefeldt, M.; Beyne, E.; De Wolf, I. Simulation of Cu pad expansion in wafer-to-wafer Cu/SiCN hybrid bonding. Microelectron. Reliab. 2022, 138, 114716. [Google Scholar] [CrossRef]
Figure 1. Cross-sectional SEM images of the (a) W and (b) F surfaces.
Figure 1. Cross-sectional SEM images of the (a) W and (b) F surfaces.
Materials 17 02150 g001
Figure 2. A schematic illustration of the contacted interface of the W and F surfaces.
Figure 2. A schematic illustration of the contacted interface of the W and F surfaces.
Materials 17 02150 g002
Figure 3. Cross-sectional SEM images of samples bonded at 250 °C: (a) 1B250t0.5, (b) 2B250t0.5, (c) 3B250t0.5, (d) 1B250t1, (e) 2B250t1, and (f) 3B250t1.
Figure 3. Cross-sectional SEM images of samples bonded at 250 °C: (a) 1B250t0.5, (b) 2B250t0.5, (c) 3B250t0.5, (d) 1B250t1, (e) 2B250t1, and (f) 3B250t1.
Materials 17 02150 g003
Figure 4. Schematic diagrams of the (a) cross-section and (b) top view of the part of the bonded interface.
Figure 4. Schematic diagrams of the (a) cross-section and (b) top view of the part of the bonded interface.
Materials 17 02150 g004
Figure 5. SEM cross-sectional images of samples bonded at 300 °C: (a) 1B300t0.5, (b) 2B300t0.5, (c) 3B300t0.5, (d) 1B300t1, (e) 2B300t1, and (f) 3B300t1.
Figure 5. SEM cross-sectional images of samples bonded at 300 °C: (a) 1B300t0.5, (b) 2B300t0.5, (c) 3B300t0.5, (d) 1B300t1, (e) 2B300t1, and (f) 3B300t1.
Materials 17 02150 g005aMaterials 17 02150 g005b
Table 1. The measured bonding fraction (BF), void fraction (VF), and void height (VH) of the samples bonded at 250 °C (B250).
Table 1. The measured bonding fraction (BF), void fraction (VF), and void height (VH) of the samples bonded at 250 °C (B250).
1B250t0.52B250t0.53B250t0.51B250t12B250t13B250t1
BF (%)31.2461.1493.0669.7586.6695.10
VF (%)2.701.270.751.490.950.93
VH (nm)38.1–101.518.1–60.338.7–73.019.0–36.550.8–69.89.5–136.4
Table 2. The measured bonding fraction (BF), void fraction (VF), and void height (VH) of samples bonded at 300 °C (B300).
Table 2. The measured bonding fraction (BF), void fraction (VF), and void height (VH) of samples bonded at 300 °C (B300).
1B300t0.52B300t0.53B300t0.51B300t12B300t13B300t1
BF (%)54.3088.7998.6277.4390.7095.58
VF (%)1.960.720.081.010.560.13
VH (nm)50.8–66.631.7–44.422.241.2–53.947.6–84.619.0–44.4
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Lu, T.-F.; Hsu, K.-N.; Hsu, C.-C.; Hsu, C.-Y.; Wu, Y.S. Effect of Cu Film Thickness on Cu Bonding Quality and Bonding Mechanism. Materials 2024, 17, 2150. https://doi.org/10.3390/ma17092150

AMA Style

Lu T-F, Hsu K-N, Hsu C-C, Hsu C-Y, Wu YS. Effect of Cu Film Thickness on Cu Bonding Quality and Bonding Mechanism. Materials. 2024; 17(9):2150. https://doi.org/10.3390/ma17092150

Chicago/Turabian Style

Lu, Tsan-Feng, Kai-Ning Hsu, Ching-Chi Hsu, Chia-Yu Hsu, and YewChung Sermon Wu. 2024. "Effect of Cu Film Thickness on Cu Bonding Quality and Bonding Mechanism" Materials 17, no. 9: 2150. https://doi.org/10.3390/ma17092150

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop