Next Article in Journal
Optical Sensing Using Dark Mode Excitation in an Asymmetric Dimer Metamaterial
Next Article in Special Issue
Challenges in the Design and Fabrication of a Lab-on-a-Chip Photoacoustic Gas Sensor
Previous Article in Journal
Integrated Cantilever-Based Flow Sensors with Tunable Sensitivity for In-Line Monitoring of Flow Fluctuations in Microfluidic Systems
Previous Article in Special Issue
Effect of Embedded Pd Microstructures on the Flat-Band-Voltage Operation of Room Temperature ZnO-Based Liquid Petroleum Gas Sensors
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Silicon Nanowire‐Based Devices for Gas-Phase Sensing

by
Anping Cao
,
Ernst J.R. Sudhölter
and
Louis C.P.M. De Smet
*
Department of Chemical Engineering, Delft University of Technology, Julianalaan 136, Delft 2628 BL, The Netherlands
*
Author to whom correspondence should be addressed.
Sensors 2014, 14(1), 245-271; https://doi.org/10.3390/s140100245
Submission received: 4 October 2013 / Revised: 12 November 2013 / Accepted: 18 November 2013 / Published: 24 December 2013
(This article belongs to the Special Issue Gas Sensors - 2013)

Abstract

: Since their introduction in 2001, SiNW-based sensor devices have attracted considerable interest as a general platform for ultra-sensitive, electrical detection of biological and chemical species. Most studies focus on detecting, sensing and monitoring analytes in aqueous solution, but the number of studies on sensing gases and vapors using SiNW-based devices is increasing. This review gives an overview of selected research papers related to the application of electrical SiNW-based devices in the gas phase that have been reported over the past 10 years. Special attention is given to surface modification strategies and the sensing principles involved. In addition, future steps and technological challenges in this field are addressed.

1. Introduction

Rapid ongoing industrial developments and further quality of life improvements put a large demand on the sensitive and selective detection of molecules in the gas phase for environmental monitoring, process control and safety, and medical diagnostics purposes [1]. Gas sensors were first mainly used in coal mines where online and precise monitoring of hazardous gases has to be carried out continually, in order to assure work place safety [2]. Soon after, gas/vapor sensors also began to appear in the chemical industry, environmental pollution monitoring and the human health fields, for instance, in the detection of explosive gases in the hydrogen production industry and methane distribution networks, air-quality monitoring in urban areas, breath analysis for traffic safety and non-invasive medical diagnostics [3].

Various nanomaterials and nanostructures present a promising basis for high-performance sensing devices [4], such as sensors based on nanoparticles (NPs) [5], nanotubes (NTs) [6] or nanowires (NWs) [7]. A key property of these nanostructured materials is their high surface-to-volume ratio, and also that one or more of the physical dimensions are less than or comparable to the charge screening length, i.e., the Debye length. Therefore, these nanostructured materials very often exhibit superior sensitivity in chemical surface processes [8].

Among all these nanomaterials, silicon nanowires (SiNWs) are very good candidates for sensing applications due to several advantages they present. For example, electrical devices made from SiNWs allow one to analyze responses not only by the voltage between the electrodes, but also by a gate voltage [9]. Also, they have a relatively large carrier mobility [10] and are tunable by controlling the doping level [11]. Compared to devices prepared from carbon nanotubes and organic materials like wires from conducting polymers, SiNW-based devices are more compatible with very-large-scale integration (VLSI) processes and complementary metal–oxide–semiconductor (CMOS) technologies [12,13]. In addition, in terms of the fundamental sensor mechanism, gas sensors based on SiNWs are better understood than devices based on metal-oxide nanowires and polymer nanowires [14]. Finally, the ability to chemically modify the surface of SiNWs enables not only the chemical immobilization of selector materials, but it also affects the device performance [15,16]. Recently we reviewed the different surface modification strategies that have been explored to modify SiNW-based devices [17].

The field of SiNW (sensor) devices was opened up by the lab of Lieber who reported on the fabrication [18] of SiNWs-based sensors and their use in the detection of chemical and biological species [19]. In their novel approach, the functionalization of SiNWs with oxide/amines, biotin, antigens, or the calcium-binding protein calmodulin allowed real-time detection of protons, streptavidin, antibodies, and calcium ions, respectively, and all the detections were reported to exhibit a high and specific sensitivity. Since the pioneering work of Lieber, SiNWs have been widely studied as sensors by many researchers due to their capabilities in sensitive, label-free and real-time detection of biological and chemical species, coupled to their uniformity and reproducibility, as well as excellent scalability and manufacturability for mass production by relatively simple preparation methods, benefitting from mature fabrication technologies [20].

A search using the keywords “silicon nanowire” and “sensor” within the Web of Science yields more than 600 papers over the past 12 years. Most of these studies are on the detection of analytes (target compounds) in aqueous environments, mainly within the context of biosensing. However, only several dozens of studies address sensing in the gas phase. Hence, in order to obtain a deep understanding of the gas-sensing mechanism in (modified) SiNW-based devices and to show and discuss the diverse approaches in device fabrication, this work aims to give an overview of most of the research papers related to the sensing in gas phase using electrical devices that consist of both in-plane orientated and vertical-standing SiNWs. For reviews on fabrication methods of SiNWs, we recommend the following contributions: several with a clear focus on the chemical-vapor-deposition fabrication of NWs by the Lieber group [2123] or one on top-down fabricated SiNW-based sensors from the Reed group [24]. For an extensive and very recent overview of different nanomaterials that have been explored to prepare NW-based gas sensors and volatile organic compound (VOC) sensors, we refer to the review by Chen et al. [25] and the recent reviews by Haick's group [26,27]. Finally, last year Penner wrote a review on chemical sensing with nanowires, that includes relevant sections on SiNW-based devices and also on examples of gas sensing, mostly associated with polymers and metal oxides [14].

In the present review, first the most common SiNW device configurations and the general sensing mechanisms are described in Section 2. Then, a summary of the device specifications, including the modification methods applied, target compounds involved and the sensing performance of different SiNW-based devices is presented in Table 1. The reviewed work has been divided in three different categories: gas sensors, vapor sensors, volatile organic compound sensors. In Section 3, selected contributions from these three categories are reviewed and discussed in more detail. In all cases, we briefly start with the relevance of measuring the target compounds. Focus is given to the different surface modification strategies that are applied onto mostly surface-oxidized SiNWs, and various signal enhancement methods by detecting the change of electrical properties. In Section 4 we conclude the review and present an outlook.

2. Working Principle: Resistor vs. Field Effect Transistor

There are different ways of classifying devices associated with SiNWs. The first one is related to the fabrication process of the nanowires. Nanowires can be grown from precursors, individual atoms and molecules to build the desired nanostructures, in some cases through smart use of self-assembly [21]. These are referred to as bottom-up fabrication methods and typically require the transfer and deposition of the nanowires onto a substrate, followed by the fabrication of contact pads. Alternatively, one can make use of top-down methods starting with patterns made on a large scale and then reducing the lateral dimensions to the nanoscale [24]. The first paper on SiNW-based sensor devices made use of bottom-up approaches [18], but an increasing number of studies deal with top-down methods, mainly because they have the advantage of reproducibility and reliability, improved contact properties and the (high density) integration possibilities related to CMOS processes. A comparison between the two fabrication approaches is the object of other reviews [28,29].

Another approach on categorizing SiNW-based sensors is based on way the electrical characterization or read-out is performed. In cases where changes in the nanowire resistance (i.e., current) are measured without the use of a so-called front-gate or back-gate electrode, one typically refers to resistor-based sensors. On the other hand, devices that make use of an applied gate are referred to as Field Effect Transistors (FETs). It is noted that there is no intrinsic relationship between the type of electrical read-out (resistor vs. FET) and the way of preparing the SiNWs (grown or top-down made). At the same time it is noticed that these days most NW FETs are prepared via top-down approaches.

Figure 1a depicts the schematics of the resistor-based configuration. Here, the SiNWs bridge the positive and negative electrodes to allow a current flow. The adsorption of the analyte onto the SiNW surface alters the surface state. By monitoring the resulting change of electrical resistance or conductance using a simple direct current (DC) circuit the analyte can be detected [25]. In the case of the FET-based configuration, the SiNW functions as a conductive channel. The terminal ends are now connected by so-called source and drain contacts, typically metallic or highly-doped semiconductor materials. The number of charge carriers and thus the conductance through the SiNW can be changed by a third gate electrode, either via a back gate (as depicted in Figure 1b) or a front gate. For example, by applying a back-gate potential (Vbg) the nanowire can be brought into the depletion-mode, enabling one to measure in the subthreshold regime where the transducer is most sensitive [9]. Any (bio)chemical or recognition event that occurs near the nanowire surface influences the local electrical voltage experienced by the nanowire and may change the extent of depletion. The number of majority charge carriers varies, which is registered as a change in drain current (Id) if a fixed source-drain voltage (Vds) is applied. Another way of registering the recognition event is by adaption of the back-gate voltage ΔVbg in such a way that at fixed Vds, Id is kept constant. In that case ΔVbg reflects the change in boundary potential at the interface of the nanowire and its environment. Due to the large surface-to-volume ratio of nanowires and the gate effect of the amplifier configuration, nanowire-based devices have high potential for the development of single-molecule detection [30].

3. Gas-Phase Sensing

Table 1 gives an overview of selected electrical sensor devices associated with SiNWs that have been studied in the gas environment. It is noted that a gas is a substance that has a single defined thermodynamic state at the temperature of investigation, while a vapor is a substance in the gas phase at a temperature lower than its critical point. So according to this definition, VOCs are vapors as well, but most literature on sensing in a gas phase makes use of the following sub-classification: inorganic (gases and vapors) and organic (explosives, nerve agents and VOCs) compounds. Within one category the studies are listed in order of their appearance in Table 1. Most, but certainly not all examples, cover devices with in-plane orientated SiNWs. With top down and bottom up (i.e., nanowire growth) we refer to the approach of SiNW fabrication, although in some cases top-down prepared SiNWs are transferred to another surface. It is noted that here we are interested in the electrical properties of the nanowires and hence the length is defined by the spacing between the two electrodes in those cases where SiNWs have been placed onto electrodes (while the actual length of these nanowires could be longer). Nanowires that have not been functionalized are referred to as “bare”, i.e., a thin oxide layer. As most studies aim to study the detection limits, data on the sensor sensitivity (s) and the measured concentration (mc) range are listed as well.

3.1. Inorganic Gases

3.1.1. Nitrogen Dioxide (NO2)

The ability to accurately monitor NO2 concentrations in air is very important, because NO2 is a potentially toxic gas that can lead to respiratory symptoms in humans and detrimentally influence the growth of plants [67]. In addition, NO2 can lead to the formation of ground-level smog and acid rain. The atmospheric concentration of NO2 is typically around 0.01 ppm, while values above 0.65 ppm are very unhealthy as reported by the U.S. Environmental Protection Agency [68]. In 2007, Heath and co-workers reported a study on a NO2 sensor based on SiNWs, made by transferring hundreds of pre-aligned, top-down prepared SiNWs from a silicon-on-oxide (SOI) wafer onto plastic [31]. Layers of deposited Ti and SiO2 were used to fabricate source/drain contacts and a gate, respectively. Figure 2a presents a schematic illustration of the active area of thin-film transistors (TFTs) made by this so-called superlattice nanowire pattern transfer (SNAP) approach, while Figure 2b shows a Scanning Electron Microscope image of the sensor platform and a photograph of the flexible sensor chip.

The changes in the nanowire resistance were measured and further analyzed when sensor chips were exposed to NO2 and also a series of VOCs in nitrogen. Figure 2c shows the normalized response of a SiNW sensing element to different NO2 concentrations, clearly showing that NO2 concentrations down to at least 20 ppb can be detected. It was concluded that due to the strong electron-withdrawing capabilities of NO2, the withdrawing of electrons from the p-type Si causes hole accumulation and thus an increase in the conductance when it is exposed to NO2 in nitrogen. In these experiments the nanowires had a 25 nm thick SiO2 layer as a gate dielectric. The SiO2 surface was also modified using silanization to obtain aldehyde-, alkane- and amino-terminated layers. This way a “nano-electronic nose” library was built, and the devices were found to be capable to distinguish low concentrations of acetone and hexane vapors via an analytical mapping of the array response patterns. The authors report that the mechanism of these responses may result simply from vapor-wire dipole-dipole effects, but could also involve dehydration of the surface, displacement of adsorbed oxygen and/or changes in surface-charge screening. To conclude, this interesting combination of highly sensitive SiNWs and flexible plastic support could open up opportunities in portable, wearable or even implantable sensors.

Soon after, a series of three studies from the Fudan University and the Rutherford Appleton Laboratory was published on different fabrication strategies of p-type SiNW-based sensors for the detection of NO2. All studies are based on a bilayer (SU8/PMMA) or trilayer (SU8/SiO2/PMMA) nanoimprint lithography method, which was combined with different process steps, including reactive ion etching (RIE) [33], or wet etching [35], and angle deposition [38]. In the case of the wet-etching approach the cross-section of the SiNWs was trapezoidal, while in the other cases rectangular cross sections were obtained. In all cases the silicon nanowires are covered with SiO2 and a photolithography step was taken to define the Al contact pads. The resulting devices have been analyzed as a resistor (so no gate). In more detail, changes in the current have been recorded upon changing the environment of only N2 to 250 ppm NO2 in N2. It is noted that only this concentration was studied and that—in contrast to McAlpine et al.—the system was not tested for lower NO2 concentrations. The detection mechanism was explained along the lines of the study of the Heath group: the exposure to NO2 lead to an increase in the hole concentration, in the region near the surface of the p-type SiNW due to its electron withdrawing properties. In all three studies, two different SiNW widths were compared and in all cases a higher sensitivity was observed for NWs with the smaller width.

In 2012, Cuscunà et al. reported an alternative on-chip fabrication method for devices with SiNWs, and tested the detection of NO2 [39]. While most bottom-up approaches for the SiNW-based sensors usually need the processes of removing and transferring the SiNWs from the growth substrate to another support, the authors exploited SiNWs directly grown onto a selected area, over and between pre-patterned, interdigitated Cr/Al electrodes defined on oxidized silicon wafers (Figure 3).

Subsequently, the SiNWs were modified with amino groups by plasma polymerization after removal of native oxide by wet etching. It was shown that the introduction of amino functionalities highly enhanced the capability to detect NO2, and even more in a humid environment (50% RH). This was rationalized by the authors as follows. Amines have a basic nitrogen with a lone pair capable to bind to strong electron-withdrawing molecules like NO2. An electron transfer from the modified surface to NO2 is established, causing changes in the electrical conductivity. The authors believe that NO2 can react with water, giving nitric acid (HNO3), which provokes the strong interaction with the amino-terminated SiNWs. What is not considered in this paper, however, is that the removal of the native oxide may also affect the device sensitivity as shown by Bunimovich et al. [16]. Furthermore it is stressed that this example makes use of a SiNW network, rather than single SiNWs. The fabricated device had a close connection between the SiNWs and electrodes and a large number of NW-NW self-welded junctions (Figure 3c, inset), which provided a very high conductance, resulting in a detection limit down to a few ppb. Based on these results, the authors concluded that the extension to other gases is possible by exploiting the existing knowledge on chemical modifications of the Si surface.

3.1.2. Nitrogen Oxide (NO)

The detection of NO is important, because changes in NO levels can be indicative of certain illnesses such as Alzheimer's or asthma [69]. Currently, chemiluminescence is commonly used for the detection of NO gas in a patient's breath [70]. While this technique is extraordinarily sensitive, with a detection limit of 300 ppt, the required instrumentation is large, expensive, and needs various supporting accessories, such as vacuum pumps and an ozone generator. Accordingly, there is a need to develop new, smaller NO gas sensors with a sturdier, scalable production at low cost.

Lee and co-workers reported a NO sensor based on porous n-type SiNWs prepared by a metal-assisted chemical etching method [34]. NO was detected in dry, synthetic air. NO, here not oxidized to NO2 by O2 due to low concentrations, was adsorbed by high-density, vertically aligned porous SiNWs. Due to the strong electron-donating power of NO a charge transfer from NO to the SiNWs takes places, increasing the amount of electron carriers in and the conductance of the SiNWs. The sensor shows a fast response and excellent reversibility to sub-ppm NO concentrations. The interference of other gases has also been investigated and the as-prepared devices showed a small resistance for NH3 (at 3,000 ppm) and little resistance changes upon the exposure to benzene, methanol, ethanol and other (non-specified) organic vapors of which data are presented in the paper. While the mechanism is not discussed, NO seems to be the smallest molecule tested, so possibly a size-exclusion effect in the porous structure may explain the selectivity.

3.1.3. Hydrogen (H2)

Hydrogen is used in many industrial processes such as hydrogenation, petroleum transformation, cryogenic cooling, and the chemical production of a variety of materials. As H2 is odorless, colorless, and flammable at concentrations over 4%, it poses safety concerns and creates a need for effective H2 leakage sensors with a lower limit of detection (LOD) to identify small leaks. A review on electrochemical H2 sensors by Korotcenkov et al. [71] also suggests the need for cost effective, low power, and compact sensors with a long-term stability, minimal cross-sensitivity and fast response.

Many H2 sensors reported to date are based on the selective absorption of H2 by palladium, which results in the reversible formation of palladium hydride (PdHx), changing the electrical and optical properties of Pd. Bare SiNWs do not show appreciable sensitivity to H2, so efforts have been made to find suitable functionalization schemes to decorate SiNWs with H2-sensitive materials. The Lee group built a Pd-functionalized SiNW-based sensor for H2 detection [32]. SiNWs were grown and their oxide layer was removed by immersion in hydrofluoric acid, then dipped into a saturated palladium chloride (PdCl2) solution to form a coating of Pd nanoparticles. The most applied method to reduce Pd2+ ions is by the addition of a reducing agent, e.g., ascorbic acid. Here the authors conclude that surface groups (i.e., Si–H) act as a reductor. The modified SiNWs were subsequently dispersed onto a silicon wafer with a 300 nm oxide layer. Gold electrodes were deposited and IV measurements were carried out with a two-probe analysis system. Upon exposure to 5% hydrogen, the current signal of the sensor increased about 20 times. The response time was three seconds only, which is much faster than that of the macroscopic Pd wire sensor. The sensing mechanism was explained by the Fermi level modulation upon chemical absorption of H2 in Pd nanoparticles that leads to the band diagram change at the metal-semiconductor interfaces.

To combine the benefits of SiNW-based sensors with the high performance reported for Schottky-based bulk sensors, Skucha et al. worked on the design, fabrication, and characterization of a H2 sensor based on a Pd/SiNW Schottky barrier field-effect transistor (SBNWFET) [36]. To form an array, grown SiNWs were contact printed on top of a SiO2/Si substrate and subsequently Pd contacts were prepared via an evaporation method (Figure 4a). This elegant architecture allows bi-directional sensing and, moreover, applies the contact pads as an affinity layer. Under ambient conditions an Ohmic contact is formed between Pd and Si (Figure 4b). Hydrogen adsorbs dissociatively on Pt to from hydrogens atoms (rather than H+ ions as the authors erroneously report), which thereafter diffuse into the Pd contacts and are believed to settle at the Pd/NW interface.

These interface charges induce a dipole layer and cause the work function of the metal to decrease effectively (Figure 4c). Eventually this leads to the formation of a Schottky barrier, which impedes holes from crossing over from the metal to the NW at the reverse biased source contact, which in turn limits the current flow.

This sensor achieved significantly higher sensitivity than (nano)sensors based on other sensing principles and enabled a reliable detection of H2 concentrations down to 5 ppm due to its low drift. The authors speculate that the printing process can be extended to other types of nanowire sensors either by functionalizing printed SiNWs with receptors (as in the case of DNA or protein sensing) or by heterogeneously printing different types of nanowires that are naturally selective to other gaseous or chemical agents.

An overwhelming majority of the SiNW-based electrical devices consist of in-plane orientated SiNWs. Also, contact pads typically are prepared on each terminal side of the NW. However, Lee and co-workers reported a non-classical sensor that is made from Pd-coated, vertical-standing, rough SiNWs, which showed an excellent performance in sensing H2 in air [37]. Using a top-down, electroless Si etching method, the authors achieved vertical-standing SiNWs with a controllable density. The NWs were reported to be rough, although no quantification is given. Pd was then sputter-deposited only on the upper part of the SiNWs in the semi-dense configuration to avoid electrical short cuts between the two on-top electrodes, which were made using silver paste (Figure 5c1). The Pd-coated SiNWs showed good reversibility and excellent H2-sensing performance in terms of detection limit (∼5 ppm) and response time (<3 s). Figure 5a shows the real-time electrical responses of the sensor to varying H2 concentrations in air at room temperature. Figure 5b gives a sensitivity vs. H2 concentration plot, which reveals an interesting variation between the low and high H2 concentration ranges. The authors propose a model for this unusual finding: in the high H2 concentration range (regime II), the current flows through the Pd film deposited on the top region of the nanowires, as the nearest nanowires are connected by a rather large volume expansion of the Pd film after H2 absorption. In the low H2 concentration range (regime I), it is hard to make a contact between neighboring SiNWs with a relatively large distance between them. This is due to the smaller volume expansion of the Pd film, resulting in a smaller conductance increase. It is proposed that the current paths are now activated by the bridging effect of some slanted SiNWs. Thus, according to this proposed model, the slope in regime I is higher than the one in regime II based on the rationale that the current conduction is limited by gaps between SiNWs, and the slanted SiNWs act as conduction bridges.

Another study on a SiNW gas sensing application reports on the selective surface modification of the SiNWs that are part of an array [40]. The topic of selective SiNW modification was already addressed by Bunimovich et al. who made use of hydrosilylation chemistry to modify the surface of SiNW on a device, leaving the SiO2 areas in between the wires untreated [16]. Very recently, Yun et al. introduced a novel method for the selective surface modification of SiNWs based on nanoscale localized Joule heating [40]. In this method, Joule heating generated a localized heat along the SiNWs enabling endothermic reactions. Two different selective surface reactions were explored: hydrothermal synthesis of Pd nanoparticles and the thermal decomposition of polymer thin films to unmask one nanowire specifically before further modification (Figure 6a, methods 1 and 2, respectively). Both types of devices were exposed to 0.5% H2 mixed with air, showing the presence of Pd nanoparticles via measuring the nanowire resistance. Since this method does not require a tedious alignment process for selective and localized surface modification, the authors expect that integrated and multiplexed nanowire sensors can be easily developed by using their method.

3.2. Inorganic Vapors

3.2.1. Ammonia (NH3)

Ammonia (NH3) is primarily a concern in areas of high agricultural activity, because it is a natural waste product of livestock. Industrial sources include the manufacturing of basic chemicals, metals, textiles, and paper products as well as automotive emissions. High levels of NH3 can result in irritation of the eyes and respiratory tracts of humans and can negatively impact wildlife, livestock, and agricultural health [72].

In 2003, Lee and co-workers demonstrated the potential of SiNW-based gas sensors in a report on the electrical response of SiNW bundles to NH3 and water vapors in N2 [41]. This work is the first example of applying SiNWs in an electrical sensor device for gas sensing purposes. It has to be noted though that in this study no single wires, but bundles of SiNWs have been used. Bundles of etched and non-etched SiNWs were made by pressing wires (∼0.4 mg) onto a surface of glass. Two electrodes were made by applying Ag glue at the two ends of the bundle. The spacing of the two electrodes was as large as 5 mm. The non-etched SiNWs hardly showed any change in electrical resistance after the adsorption of NH3 and H2O molecules, because of the presence of an amorphous silicon oxide shell on the surface of SiNWs formed during the nanowire preparation. The etched SiNWs were exposed to air, allowing the formation of native oxide. As compared to the oxide layer formed at high temperature during the SiNW growth, native oxide is less uniform and much thinner, explaining the large sensitivity difference in resistance between the etched and non-etched SiNWs.

Subsequently, several research groups have focused on improving the response to NH3 of sensors composed of SiNWs without any chemical functionalization. For example, Kamins et al. fabricated metal-catalyzed, p-doped SiNWs bridging two Si electrodes and exposed them to vapors containing NH3 or HCl at reduced pressure [43]. The current was measured in the dark at an applied voltage of 0.1 V. Exposure to NH3 resulted in a reduction of the conductance due to the adsorption of positively charged species (NH4+) on the nanowires, decreasing the density of positive mobile carriers, i.e., holes in the case of p-type devices. In the case of HCl the conductance increased, which was attributed to the adsorption of Cl ions. The researchers also used additional nanowire structures as reference devices that are protected from the analyte. From the work it is not clear how this exactly was realized, but at least it is one of the few papers on SiNWs and gas sensing that address the issue of reference sensors. It is believed that one reference may serve a group of sensors, which would limit the area needed for these reference devices. Furthermore, Talin et al. reported a SiNW array transistor, made by a top-down technique based on nanoimprint lithography (NIL) [42]. When exposed to ammonia gas or cyclohexane solutions containing nitrobenzene or phenol, the threshold voltage of the field-effect transistor shifted. This shift was found to be proportional to the Hammett parameter (i.e., a parameter related to the electron-donating or electron-withdrawing character of the substituents on the benzene ring) and the concentration of the nitrobenzene and phenol analytes. Recently, Pichon's group developed two bare SiNW-based resistors for ammonia and smoke detection, and the two types of non-intentionally doped SiNWs are fabricated by the vapor-liquid-solid (VLS) growth technique (bottom-up approach) and the so-called sidewall spacer method (top-down approach), respectively [50].

Based on the well-established “lock-and-key” interactions to achieve high selectivity, particularly used in biosensors, Heath's group developed novel SiNW-based sensors modified by peptides for the selective detection of ammonia and acetic acid vapors [44]. First, the SiNWs were fabricated by the SNAP method and treated with O2 plasma, followed by the immersion in the surface modifying reagent 3-aminopropyltrimethoxysilane (APTES) solution to realize amine-terminated SiNW surfaces (Figure 7a, left). Next, oligopeptides with the desired recognition sequences (NH3 and CH3COOH) were synthesized, and coupled to the APTES-modified SiNWs (Figure 7a, right). Upon exposure to NH3 and acetic acid vapors, the hybrid materials demonstrated the ability of discriminating the target molecules at low concentrations from, what the authors call “chemically camouflaged” mixtures. The electrical responses are given in Figures 7b,c. It was concluded that the results serve as a model platform for what can be achieved in terms of selective and sensitive “electronic noses”.

To realize high sensitivity in gas sensing, In et al. reported a novel method to fabricate ordered arrays of vertically aligned SiNWs provided with a periodically porous top electrode [48]. Two separate nanosphere lithography steps were used to fabricate large, well-ordered arrays of vertical nanowires. Subsequently, a periodically porous top electrode (PTE) was prepared, making consistent electrical connections to every single nanowire in the array (Figure 8a). With this sensor configuration a fast and highly sensitive detection of NH3 and NO2 in humidified air has been shown (Figure 8b). NO2 detection down to 10 ppb has been demonstrated and the sensor response times were found to be in the range of 2 to 8 min. The authors attributed the high sensitivity to the vertical array configuration, enabling the deposition of top electrode material, while the pores in this top electrode significantly improve the sensing response times as analyte gases can pass through easily.

In 2012, Ni and co-workers developed two types of resistor-based sensors by growing (bare) SiNWs from gold-modified, interdigitated, comb-shaped and V-shaped groove structures [51]. The resulting devices were tested as a chemical sensor by exposing them to different ammonia concentrations in nitrogen. The V-shaped groove structures provide the possibility to be assembled with a capping polydimethylsiloxane (PDMS) mold, and is also more compatible with planar technologies. A recent study shows that the modification of H-terminated SiNWs with tellurium nanoparticles (Te NPs) by the reduction of Na2TeO3 [53]. These devices were sensitive to ammonia and propylamine, which was rationalized as following: ammonia and propylamine reduce the tellurium oxide (present on the surface of Te NPs), decreasing the majority carrier density in the Te, which in turn decreases the conductivity of the sensor.

3.2.2. Humidity (H2O)

Sensing humidity is an important application for chemical sensors and is extensively used in our daily life. Humidity sensors can monitor the environmental moisture for human comfort and can also be used in automotive, medical, construction, semiconductor, meteorological, and food processing industries [73]. As a semiconductor material, SiNWs can be used for humidity sensing, since it is sensitive to environmental humidity. Jie et al. showed that n-type SiNWs exhibit p-type characteristics when exposed to air [74]. The authors explained this by the presence of water molecules that adsorb on the NWs due to the large number of dangling bonds and surface defects. Also the effect of doping on the SiNW sensitivity to humidity has been reported [52]. In 2009, Zhang and co-workers developed a capacitive humidity sensor based on the capacitance variations due to the adsorption/desorption of water vapor on SiNWs [45]. In a subsequent study, the SiNWs were made hydrophobic by the modification with hexamethyldisilazane (HMDS) [49]. While the sensitivity of these layers was lower as compared to oxide-covered SiNW, the hysteresis, linearity and response time remarkably improved. Hsueh et al. reported SiNW-based humidity sensors by growing SiNWs directly on a glass substrate by a low-temperature VLS process without intentional doping [47]. The deposition of a gold layer on the top of the standing NWs made their behavior p-typed. The current decreased monotonically upon increasing the relative humidity from 30% to 95%. These results suggest that SiNWs prepared on glass substrate are potential useful as a cost-effective alternative for humidity sensing. Meanwhile, Passi et al. fabricated single-crystalline SiNWs using the top-down approach, and studied the transfer characteristics and sensing properties of the SiNWs with and without surface functionalization under ambient conditions [46]. To reduce the adsorption of H2O molecules onto the surface of SiNWs, surface modification was performed by grafting either 3-(4-ethynylbenzyl)-1,5,7-trimethyl-3-azabicyclo[3.3.1] nonane-7-methanol (EBTAM, a receptor molecule for a nerve agent, compound 1 in Figure 10) on H-terminated Si surfaces, or octadecyltrichlorosilane (OTS) onto native oxide formed on the SiNW surface. A reduction of hysteresis in the IV curves is observed, from which the authors conclude that surface functionalization is important to avoid any undesired environmental effect on the transport properties of the SiNWs.

3.3. Organic Compounds

3.3.1. Explosives

With the increased threat of terrorist activities, there is an unfortunate need for the detection of chemical vapors indicative of malicious intent. One of the most commonly used high explosives in the past years is 2,4,6-trinitrotoluene (TNT). TNT does not only poses a security threat, but it is also of great environmental concern because of soil and water contamination. However, the low volatility of most explosives makes it challenging to develop and integrate methods to detection traces of explosives. One of the lowest detection limits for TNT (as low as 10−2 ppt in air) was realized by the Patolsky group using large-scale arrays of SiNW-FET devices. The devices were chemically modified with APTES to obtain an amine-terminated layer [55]. The thickness of the APTES layer was found to be ∼6.5 to 12 Å, i.e., between a monolayer and a bilayer. The authors note that the structure and thickness of APTES films indeed are governed by the deposition time and the composition of the silane solution [75]. No attempts to prepare a true APTES monolayer were reported in this study. The authors continue their work by describing two kinds of strong (reversibly) interactions may occur between the electron-deficient aromatic ring of TNT and the electron-rich amino group of APTES (Figure 9a, inset). First, the charge transfer from amino groups to aromatic rings leads to the formation of so-called Meisenheimer complexes. Second, a TNT molecule is a Brønsted-Lowry acid, which can be deprotonated at the methyl group by a basic amine. In both cases, charges are formed near the sensing surface, thus leading to abrupt changes in the conductance of the device. The cross-reactivity of the devices was studied using structurally related compounds (Figure 9b). The results clearly show a strong preference for binding TNT over other similar compounds (Figure 9a). It should be noted that all these experiments were performed using 0.1% DMSO/H2O solutions of the compounds. In a final experiment it is shown that TNT can also be detected directly in air at low concentrations of TNT (between ppb and ppt concentrations), with unprecedented sensitivities down to at least 10−2 ppt in air.

More recently, Wang and co-workers reported a chemiresistive device based on arrays of hydrogen and oxygen plasma-treated SiNWs for the detection of the vapors of common nitro explosives and their degradation by-products [56]. The following compounds were tested: 2,4-dinitrotoluene (DNT), 2,4,6-trinitrotoluene (TNT), cyclotrimethylenetrinitramine (RDX), pentaerythritoltetranitrate (PETN), 2,4,6-trinitrophenol (picric acid) and an explosive degradation by-product, 2-nitrotoluene. In their work, the width of nanowires was varied (100, 200 and 400 nm). The sensitivity—defined as the relative resistance change due to the presence of chemicals—was found to increase for decreasing cross-sections of the nanowires. Both plasma treatments can significantly improve the sensitivity and response times. This was explained by its cleaning effect providing more adsorption/binding sites for the target molecules. It was further rationalized by the authors that the oxygen-plasma prepared surface Si-OH groups might form charge transfer complexes with the nitro groups of nitro-containing explosives to strengthen the chemiresistive response. Surface studies such as X-ray photoelectron spectroscopy (XPS) and Hall measurements at room temperature were performed on reference Si samples to confirm that oxygen plasma treatment changes the type of majority carriers from p to n and inverts the sign of the resistance change.

3.3.2. Nerve Agents

The ability to detect minute traces of chemical warfare agents (CWAs) is mandatory both for military forces and homeland security, since CWAs can be fatal even at low concentration levels. Towards the development of sensors for CWAs, specifically nerve agents, simulants are often used, such as dimethyl methylphosphonate (DMMP) and diphenylchlorophosphate (DPCP). Several groups have made efforts towards developing SiNWs-based sensors for nerve agents. In a very brief conference proceeding without any sensing data, Lee and co-workers report on the electrical detection of the vapor of DMMP in N2 using a device with bare SiNWs in a resistor mode [57]. More interestingly, Simonato and Raskin and co-workers reported a highly sensitive detection of DPCP (Figure 10a, top) using chemically functionalized silicon nanoribbon FETs [54] and silicon nanowire FETs [59,58], respectively. In their work, the silicon nanostructures were fabricated and then functionalized by covalent grafting through thermal hydrosilylation of compound 1 onto the HF pre-treated substrates. This type of chemistry results in an organic monolayer that is linked via a stable Si-C bond. Compound 1 is known to react cleanly and irreversibly with DPCP to produce the so-called aza adamantane quaternary ammonium salt, compound 2 (Figure 10b).

Figure 10c shows the change in IDS when a modified device is exposed to 500–800 ppb of DPCP in air. With the exception of bis-dichlorophenylchlorophosphate (diClPClP), the use of more than about 20 other VOCs, including DMMP, showed hardly any change in the drain current (see Figure 10a for the structures of diClPClP and DMMP). The absence of response in the case of DMMP was explained by the low chemical reactivity of DMMP with compound 1 due to the electrodonating properties of the methoxy group [59]. In our opinion, another explanation may be related to a reaction between the P–Cl functionality and the primary alcohol of compound 1 to form an intermediate. This would also explain the absence of response for DMMP as a methoxy group is less reactive than Cl. Also, it would explain the sensor response of diClPClP as it contains a P–Cl functionality, just like DPCP. The authors realize that apart from the positively charged nitrogen present in compound 2 also the negatively charged counter ion is present, making the monolayer likely to remain neutral. It was further rationalized that the drain current change upon DPCP exposure could not be explained by changes in the density of the Si surface states. This made them conclude that the drain current changes could be explained by a charge transfer between Si and the molecules, which in turn leads to interface dipoles and a change in the Si surface potential. 3D technology-computer-aided-design (TCAD) simulations before and after gas detection have been performed to gain insight into the physical mechanisms involved in the gas detection and to investigate the impact of the surface-to-volume ratio on sensor sensitivity [58]. It was found that by reducing the nanowire width from 1 μm to 25 nm, the sensitivity only slightly improved, while the Vbg window, in which the high sensitivity is reached, significantly enlarged.

3.3.3. Volatile Organic Compounds (VOCs)

Volatile organic compounds (VOCs) are carbon-based chemicals that have a relatively high vapor pressure at room temperature, which is the result from their low boiling points. Most odors consist of VOCs. Other examples include biogenic VOCs produced by plants, which are involved in plant growth, development, reproduction and defense. However, some VOCs are dangerous to human health or cause harm to the environment. Moreover, VOC biomarkers that can be present in the exhaled breath of humans could be used for the detection of some diseases, including lung cancer [76]. Thus, the ability to monitor VOCs is important for environmental safety and medical reasons.

In 2011 Niskanen et al. reported SiNWs that can be used as versatile chemical vapor sensors without elaborate functionalization [60]. Obviously, functionalization of the SiNW surface can help the recognition task greatly, as also becomes clear from other examples in the current review. Nonetheless, their research is a proof-of-principle demonstration that even non-functionalized nanowires can distinguish between various VOCs in—what the authors call—completely uncontrolled ambient conditions with the help of elementary machine learning. The results show that they are able to distinguish between acetone, ethanol and water with 100% accuracy, while methanol, ethanol and 2-propanol were classified with 96% accuracy in ambient conditions. The mechanism is not discussed in great detail, although they conclude it is clear that the sensing is based on the field effect and that the dielectric coupling effect is likely to be dominant owing to large dielectric constants of the studied compounds (ranging from 18 to 80). In fact, the authors emphasize that the strength of their classification technique means that knowledge of the exact nature of the sensing mechanism is not required. The set of responses was found to be unpredictable, making them conclude that this approach would be unsuitable for traditional sensing based on deterministic methods. On the other hand, the identification and extrapolation of sensing patterns via supervised machine learning seems achievable.

Over the past few years the research group of Haick published a number of interesting and systematic studies on the fundamentals and applications of functionalized SiNW-based FETs for VOC detection [6166]. Both polar (water, ethanol, 1-butanol, 1-hexanol, 1-octanol and 1-decanol) and nonpolar (n-hexane, n-octane and n-decane) VOCs have been studied in oil-free air having 15% relative humidity. It was shown that the formation of silane monolayers having a low fraction of Si–O–Si bonds between the adjacent molecules (i.e., no cross linking) greatly enhanced the sensitivity toward nonpolar VOCs [61]. In more detail, a monolayer of hexyltrichlorosilane (HTS) was prepared using a two-step, amine-promoted reaction procedure (Figure 11a–f). The improved sensitivity was attributed to the adsorption of nonpolar VOCs between or on top of the alkyl chains and/or in the pinholes of the monolayer, inducing conformational changes in the organic monolayer. This affects the dielectric constant, the effective dipole moment of the organic monolayer, and/or the charged surface state density of the SiO2/monolayer interface. These effects in turn change the conductivity of the SiNW.

In a second study the detection of nonpolar species with HTS-modified devices is further studied experimentally, while also the detection process has been modeled based on changes in the carrier mobility, voltage threshold, off-current, off-voltage, and subthreshold swing of the devices [62]. The detection of the nonpolar species was attributed to molecular gating and based on two indirect effects: (i) a change in the dielectric medium close to the SiNW surface and (ii) a change in the charged surface states at the functionality of the SiNW surface. Subsequently, the devices were modified with alkyl trichlorosilanes with different alkyl length to study the interactive effect of hysteresis and surface chemistry [63]. The density of the exposed or unpassivated Si–OH groups (trap states) on the SiNW surface was found to play by far a crucial effect on the hysteresis characteristics of the gated silicon nanowire sensors, relative to the effect of hydrophobicity or molecular density of the organic monolayer.

APTES-modified devices were also functionalized with acyl chlorides (with different chain length) to form amide bonds [65]. The resulting devices showed a negative response in the threshold voltage changes and the relative hole mobility changed upon exposure to polar and to nonpolar VOCs. In reference experiments, the bare SiNW FET showed no clear response in the threshold voltage to VOCs. For each VOC, the change in threshold voltage increased with the chain length of the molecular modification, while the changes in hole mobility were found to be constant. Data analysis with an electrostatic-based model suggests that the sensor response in terms of the change in threshold voltage depends on the concentration and the vapor pressure of the VOC as well as on the VOC–molecular layer binding energy, and VOC adsorption-induced dipole moment changes of the molecular layer. Also 4 different acyl chlorides were used in the formation of amides, resulting in layers with different terminal functionalities (Figure 11g) [64]. It was concluded that the electron-donating/withdrawing properties of the functional groups in these layers likely control the dipole moment orientation of the adsorbed VOCs and, consequently, determine the direction of the change in threshold voltage. Additionally, it was found that the diffusion of VOCs into the molecular layer likely determined by the type of functional groups, is the main reason for change in the hole mobility. In their most recent paper, a systematic, comparative analysis was performed on SiNW sensors functionalized with a series of silanes having different electron donating/withdrawing end groups and different chain lengths [66]. None of the separate sensors showed sufficient chemical and quantitative selectivity to realize accurate VOC detection. However, using discriminant factor analysis (DFA), the performance of the molecularly modified SiNW FETs made it possible to discriminate between polar and nonpolar VOCs, as well as between the separate VOCs inside each group.

4. Conclusions and Outlook

SiNW-based sensors have demonstrated sensitivity to a wide variety of gas-phase analytes that are important in industrial, environmental, and personal safety and in medical and even military scenarios, as summarized in Table 1. Close to half of these studies are on top-down prepared nanowires. While top-down approaches are generally believed to be beneficial in terms of sensitivity and reproducibility due to better contacts and higher uniformity, the large variety of approaches as listed in Table 1 makes it difficult to say whether this is also the case for the studied nanowires reviewed here. Interestingly, devices prepared from the bottom-up and top-down approaches have been used in two studies [50,60]. However, differences in the oxide layer thickness or Si crystallinity and differences in the level of impurities prevent a clear, direct comparison, as recognized by the authors. In any case, top-down approaches are preferable in the preparation of devices that consist of large arrays of SiNWs to keep the difference between the nanowires and their contacts (and hence their performance) as low as possible. Also, it should be realized that the bottom-up nanowires are typically wires indeed, while in the case of top-down nanowires the cross section of the wire is not spherical, but rectangular, trapezoidal or triangular. In essence, for “nanowires” with a rectangular cross section having a rather high width-to-height aspect ratio, nanosheets or nanoribbons would be a more appropriate name.

About one third of the papers on gas phase sensors/detectors that consist of SiNWs make use of the bare NW surface, i.e., oxide surface. The other papers show that surface modification can be advantageous in terms of selectivity, e.g., Pt for H2 sensing as shown in different studies or the use of recognition peptide sequences for NH3 and CH3COOH [44]. Surface modification can also be useful for mechanistic studies as illustrated by the preparation of organic monolayers with different degrees of cross linking [61] and chain length [63,65]. The development of new and the implementation of existing functionalization strategies will enlarge the number of selective (gas phase) sensors. So far, two approaches have been reported to modify individual nanowires present in an array, one based on the tuning of etching conditions [16] and the other one on nanoscale localized Joule heating [40]. Wider implementation of these methods and the development of new strategies in this regard will further stimulate multiplexing sensor applications. We anticipate that also recent work on the identification of sensing (recognition) patterns via advanced analytical procedures based on data obtained with SiNW-based devices [44,60,66] will contribute to further developments in the analysis of complex biological and chemical gas mixtures.

Apart from sensitivity and selectivity, also the speed of response and stability are two important issues in the field of sensor development in general. Response times of the reviewed studies are typically at the time scale of seconds to min. However, faster response times are needed for some applications, e.g., an automotive exhaust sensor that requires a response time of 10 ms in order to enable feedback control [77]. Furthermore, as the degradation of the selector layer will reduce sensor the performance, stability is a key issue to future applications that require operation under harsh temperature and environmental conditions. While response reversibility has been shown in most, if not all cases, stability studies over time have not been addressed extensively. This will be a huge challenge for organic selector layers in particular.

To conclude, as investigations of the influence of Si nanowire dimensions on sensor sensitivities are just beginning to be reported, more work in this direction is needed. Clearly, a lot can be learned of the more extensive work on the application of SiNW-based devices in the liquid environment. Improvements of the four S's—sensitivity, selectivity, speed and stability—are essential in any discussion of chemical sensor development [77], but it must be realized that some relevant topics can be studied within in the domain of gas phase sensors only. Obviously this holds for the development of suitable gas selector layers, but also for a rather fundamental topic on the effect of having no possibility of using a (typical) liquid-gate reference electrode on the sensor performance. In general, the results presented in this review confirm the applicability of sensors that consist of SiNWs for a wide range of gas sensing applications. It is anticipated that this scientific and technological work soon may result in spin-off commercial products that will a have substantial contribution to the quality of life.

Acknowledgments

The authors would like to thank the financial support provided by NanoNextNL, a micro and nanotechnology consortium of the Government of the Netherlands and 130 partners. We thank Johan Klootwijk from Philips Research (one of the consortium partners) for his support and close collaboration on different SiNW-based sensor projects.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Tricoli, A.; Righettoni, M.; Teleki, A. Semiconductor gas sensors: Dry synthesis and application. Angew. Chem. Int. Ed. 2010, 49, 7632–7659. [Google Scholar]
  2. Sharma, S.; Madou, M. A new approach to gas sensing with nanotechnology. Phil. Trans. R. Soc. A 2012, 370, 2448–2473. [Google Scholar]
  3. Kauffman, D.R.; Star, A. Carbon nanotube gas and vapor sensors. Angew. Chem. Int. Ed. 2008, 47, 6550–6570. [Google Scholar]
  4. Francia, G.D.; Alfano, B.; Ferrara, V.L. Conductometric gas nanosensors. J. Sens. 2009, 2009, 1–18. [Google Scholar]
  5. De, M.; Ghosh, P.S.; Rotello, V.M. Applications of nanoparticles in biology. Adv. Mater. 2008, 20, 4225–4241. [Google Scholar]
  6. Bae, C.; Yoo, H.; Kim, S.; Lee, K.; Kim, J.; Sung, M.M.; Shin, H. Template-directed synthesis of oxide nanotubes: Fabrication, characterization, applications. Chem. Mater. 2008, 20, 756–767. [Google Scholar]
  7. Liu, A. Towards development of chemosensors and biosensors with metal-oxide-based nanowires or nanotubes. Biosens. Bioelectron. 2008, 24, 167–177. [Google Scholar]
  8. Ramgir, N.S.; Yang, Y.; Zacharias, M. Nanowire-based sensors. Small 2010, 6, 1705–1722. [Google Scholar]
  9. Gao, X.P.A.; Zheng, G.F.; Lieber, C.M. Subthreshold regime has the optimal sensitivity for nanowire FET biosensors. Nano Lett. 2010, 10, 547–552. [Google Scholar]
  10. Cui, Y.; Zhong, Z.H.; Wang, D.L.; Wang, W.U.; Lieber, C.M. High performance silicon nanowire field effect transistors. Nano Lett. 2003, 3, 149–152. [Google Scholar]
  11. Cui, Y.; Duan, X.F.; Hu, J.T.; Lieber, C.M. Doping and electrical transport in silicon nanowires. J. Phys. Chem. B 2000, 104, 5213–5216. [Google Scholar]
  12. Mescher, M.; de Smet, L.C.P.M.; Sudholter, E.J.R.; Klootwijk, J.H. Robust fabrication method for silicon nanowire field effect transistors for sensing applications. J. Nanosci. Nanotechnol. 2013, 13, 5649–5653. [Google Scholar]
  13. Stern, E.; Klemic, J.F.; Routenberg, D.A.; Wyrembak, P.N.; Turner-Evans, D.B.; Hamilton, A.D.; LaVan, D.A.; Fahmy, T.M.; Reed, M.A. Label-free immunodetection with CMOS-compatible semiconducting nanowires. Nature 2007, 445, 519–522. [Google Scholar]
  14. Penner, R.M. Chemical sensing with nanowires. Annu. Rev. Anal. Chem. 2012, 5, 461–485. [Google Scholar]
  15. Bashouti, M.Y.; Sardashti, K.; Schmitt, S.W.; Pietsch, M.; Ristein, J.; Haick, H.; Christiansen, S.H. Oxide-free hybrid silicon nanowires: From fundamentals to applied nanotechnology. Prog. Surf. Sci. 2013, 88, 39–60. [Google Scholar]
  16. Bunimovich, Y.L.; Shin, Y.S.; Yeo, W.; Amori, M.; Kwong, G.; Heath, J.R. Quantitative real-time measurements of DNA hybridization with alkylated nonoxidized silicon nanowires in electrolyte solution. J. Am. Chem. Soc. 2006, 128, 16323–16331. [Google Scholar]
  17. De Smet, L.C.P.M.; Ullien, D.; Mescher, M.; Sudhölter, E.J.R. Organic Surface Modification of Silicon Nanowire-Based Sensor Devices. In Nanowires-Implementations and Applications; Hashim, A., Ed.; InTech: Rijeka, Croatia, 2011; pp. 267–288. [Google Scholar]
  18. Cui, Y.; Lieber, C.M. Functional nanoscale electronic devices assembled using silicon nanowire building blocks. Science 2001, 291, 851–853. [Google Scholar]
  19. Cui, Y.; Wei, Q.; Park, H.; Lieber, C.M. Nanowire nanosensors for highly sensitive and selective detection of biological and chemical species. Science 2001, 293, 1289–1292. [Google Scholar]
  20. Zhang, G.J.; Ning, Y. Silicon nanowire biosensor and its applications in disease diagnostics: A review. Anal. Chim. Acta 2012, 749, 1–15. [Google Scholar]
  21. Li, Y.; Qian, F.; Xiang, J.; Lieber, C.M. Nanowire electronic and optoelectronic. Mater. Today 2006, 9, 18–27. [Google Scholar]
  22. Patolsky, F.; Zheng, G.; Lieber, C.M. Nanowire-based biosensors. Anal. Chem. 2006, 78, 4260–4269. [Google Scholar]
  23. Carlen, E.T.; van den Berg, A. Nanowire electrochemical sensors: Can we live without labels? Lab Chip 2007, 7, 19–23. [Google Scholar]
  24. Stern, E.; Vacic, A.; Reed, M.A. Semiconducting nanowire field-effect transistor. IEEE Trans. Electron. Devices 2008, 55, 3119–3130. [Google Scholar]
  25. Chen, X.; Wong, C.K.Y.; Yuan, C.A.; Zhang, G. Nanowire-based gas sensors. Sens. Actuators B: Chem. 2013, 177, 178–195. [Google Scholar]
  26. Konvalina, G.; Haick, H. Sensors for breath testing from nanomaterials to comprehensive disease detection. Acc. Chem. Res. 2013. [Google Scholar] [CrossRef]
  27. Broza, Y.Y.; Haick, H. Nanomaterial-based sensors for detection of disease by volatile organic compounds. Nanomedicine 2013, 8, 785–806. [Google Scholar]
  28. Hobbs, R.G.; Petkov, N.; Holmes, J.D. Semiconductor nanowire fabrication by bottom-up and top-down paradigms. Chem. Mater. 2012, 24, 1975–1991. [Google Scholar]
  29. Moh, T.S.Y.; Pandraud, G.; de Smet, L.C.P.M.; van Rijn, C.J.M.; Sudhölter, E.J.R.; Sarro, P.M. Fabrication of Nanowires for Biosensing Applications. In Nanodevices and Nanofabrication—Selected Publications from Symposium of Nanodevices and Nanofabrication in ICMAT2011; Zhang, Q., Milne, W.I., Eds.; Pan Stanford Publishing Pte. Ltd.: Singapore, 2012; pp. 1–40. [Google Scholar]
  30. Patolsky, F.; Lieber, C.M. Nanowire nanosensors. Mater. Today 2005, 8, 20–28. [Google Scholar]
  31. McAlpine, M.C.; Ahmad, H.; Wang, D.; Heath, J.R. Highly ordered nanowire arrays on plastic substrates for ultrasensitive flexible chemical sensors. Nat. Mater. 2007, 6, 379–384. [Google Scholar]
  32. Chen, Z.H.; Jie, J.S.; Luo, L.B.; Wang, H.; Lee, C.S.; Lee, S.T. Applications of silicon nanowires functionalized with palladium nanoparticles in hydrogen sensors. Nanotechnology 2007, 18, 345502. [Google Scholar]
  33. Wan, J.; Deng, S.; Yang, R.; Shu, Z.; Lu, B.; Xie, S.; Chen, Y.; Huq, E.; Liu, R.; Qu, X. Silicon nanowire sensor for gas detection fabricated by nanoimprint on SU8/SiO2/PMMA trilayer. Microelectron. Eng. 2009, 86, 1238–1242. [Google Scholar]
  34. Peng, K.; Wang, X.; Lee, S. Gas sensing properties of single crystalline porous silicon nanowires. Appl. Phys. Lett. 2009, 95, 243112. [Google Scholar]
  35. Gao, C.; Deng, S.; Wan, J.; Lu, B.; Liu, R.; Huq, E.; Qu, X.; Chen, Y. 22nm silicon nanowire gas sensor fabricated by trilayer nanoimprint and wet etching. Microelectron. Eng. 2010, 87, 927–930. [Google Scholar]
  36. Skucha, K.; Fan, Z.; Jeon, K.; Javey, A.; Boser, B. Palladium/silicon nanowire Schottky barrier-based hydrogen sensors. Sens. Actuators B: Chem. 2010, 145, 232–238. [Google Scholar]
  37. Noh, J.; Kim, H.; Kim, B.; Lee, E.; Cho, H.; Lee, W. High-performance vertical hydrogen sensors using Pd-coated rough Si nanowires. J. Mater. Chem. 2011, 21, 15935–15939. [Google Scholar]
  38. Gao, C.; Xu, Z.; Deng, S.; Wan, J.; Chen, Y.; Liu, R.; Huq, E.; Qu, X. Silicon nanowires by combined nanoimprint and angle deposition for gas sensing applications. Microelectron. Eng. 2011, 88, 2100–2104. [Google Scholar]
  39. Cuscunà, M.; Convertino, A.; Zampetti, E.; Macagnano, A.; Pecora, A.; Fortunato, G.; Felisari, L.; Nicotra, G.; Spinella, C.; Martelli, F. On-chip fabrication of ultrasensitive NO2 sensors based on silicon nanowires. Appl. Phys. Lett. 2012, 101, 103101. [Google Scholar]
  40. Yun, J.; Jin, C.Y.; Ahn, J.H.; Jeon, S.; Park, I. A self-heated silicon nanowire array: Selective surface modification with catalytic nanoparticles by nanoscale Joule heating and its gas sensing applications. Nanoscale 2013, 5, 6851–6856. [Google Scholar]
  41. Zhou, X.T.; Hu, J.Q.; Li, C.P.; Ma, D.D.D.; Lee, C.S.; Lee, S.T. Silicon nanowires as chemical sensors. Chem. Phys. Lett. 2003, 369, 220–224. [Google Scholar]
  42. Talin, A.A.; Hunter, L.L.; Léonard, F.; Rokad, B. Large area, dense silicon nanowire array chemical sensors. Appl. Phys. Lett. 2006, 89, 153102. [Google Scholar]
  43. Kamins, T.I.; Sharma, S.; Yasseri, A.A.; Li, Z.; Straznicky, J. Metal-catalysed, bridging nanowires as vapour sensors and concept for their use in a sensor system. Nanotechnology 2006, 17, S291–S297. [Google Scholar]
  44. McAlpine, M.C.; Agnew, H.D.; Rohde, R.D.; Blanco, M.; Ahmad, H.; Stuparu, A.D.; Goddard, W.A.; Heath, J.R. Peptide-nanowire hybrid materials for selective sensing of small molecules. J. Am. Chem. Soc. 2008, 130, 9583–9589. [Google Scholar]
  45. Li, H.L.; Zhang, J.; Tao, B.R.; Wan, L.J.; Gong, W.L. Investigation of capacitive humidity sensing behavior of silicon nanowires. Phys. E Low Dimens. Syst. Nanostruct. 2009, 41, 600–604. [Google Scholar]
  46. Passi, V.; Dubois, E.; Celle, C.; Clavaguera, S.; Simonato, J.P.; Raskin, J.P. Functionalization of silicon nanowires for specific sensing. ECS Trans. 2011, 35, 313–318. [Google Scholar]
  47. Hsueh, H.T.; Hsueh, T.J.; Chang, S.J.; Hung, F.Y.; Weng, W.Y.; Hsu, C.L.; Dai, B.T. Si nanowire-based humidity sensors prepared on glass substrate. IEEE Sens. J. 2011, 11, 3036–3040. [Google Scholar]
  48. In, H.J.; Field, C.R.; Pehrsson, P.E. Periodically porous top electrodes on vertical nanowire arrays for highly sensitive gas detection. Nanotechnology 2011, 22, 355501. [Google Scholar]
  49. Chen, X.J.; Zhang, J.; Wang, Z.L.; Yan, Q.; Hui, S.C. Humidity sensing behavior of silicon nanowires with hexamethyldisilazane modification. Sens. Actuators B: Chem. 2011, 156, 631–636. [Google Scholar]
  50. Demami, F.; Ni, L.; Rogel, R.; Salaun, A.C.; Pichon, L. Silicon nanowires based resistors as gas sensors. Sens. Actuators B: Chem. 2012, 170, 158–162. [Google Scholar]
  51. Ni, L.; Jacques, E.; Rogel, R.; Salaun, A.C.; Pichon, L.; Wenga, G. VLS silicon nanowires based resistors for chemical sensor applications. Proced. Eng. 2012, 47, 240–243. [Google Scholar]
  52. Taghinejad, H.; Taghinejad, M.; Abdolahad, M.; Saeidi, A.; Mohajerzadeh, S. Fabrication and modeling of high sensitivity humidity sensors based on doped silicon nanowires. Sens. Actuators B: Chem. 2013, 176, 413–419. [Google Scholar]
  53. Yang, L.; Lin, H.Y.; Zhang, Z.S.; Cheng, L.; Ye, S.Y.; Shao, M.W. Gas sensing of tellurium-modified silicon nanowires to ammonia and propylamine. Sens. Actuators B: Chem. 2013, 177, 260–264. [Google Scholar]
  54. Clavaguera, S.; Carella, A.; Caillier, L.; Celle, C.; Pecaut, J.; Lenfant, S.; Vuillaume, D.; Simonato, J.P. Sub-ppm detection of nerve agents using chemically functionalized silicon nanoribbon field-effect transistors. Angew. Chem. Int. Ed. 2010, 49, 4063–4066. [Google Scholar]
  55. Engel, Y.; Elnathan, R.; Pevzner, A.; Davidi, G.; Flaxer, E.; Patolsky, F. Supersensitive detection of explosives by silicon nanowire arrays. Angew. Chem. Int. Ed. 2010, 49, 6830–6835. [Google Scholar]
  56. Wang, D.; Sun, H.; Chen, A.; Jang, S.H.; Jen, A.K.; Szep, A. Chemiresistive response of silicon nanowires to trace vapor of nitro explosives. Nanoscale 2012, 4, 2628–2632. [Google Scholar]
  57. Kim, Y.L.; Lee, J.M.; Lee, S.H.; Lee, W. Highly Sensitive Si Nanowire-Based Gas Sensors for Detection of a Nerve Agent. Proceedings of the 3rd International Nanoelectronics Conference (INEC), Hongkong, China, 3–8 January 2010; pp. 736–737.
  58. Passi, V.; Ravaux, F.; Dubois, E.; Clavaguera, S.; Carella, A.; Celle, C.; Simonato, J.P.; Silvestri, L.; Reggiani, S.; Vuillaume, D.; Raskin, J.P. High gain and fast detection of warfare agents using back-gated silicon-nanowired MOSFETs. IEEE Electron. Device Lett. 2011, 32, 976–978. [Google Scholar]
  59. Clavaguera, S.; Raoul, N.; Carella, A.; Delalande, M.; Celle, C.; Simonato, J.P. Development of an autonomous detector for sensing of nerve agents based on functionalized silicon nanowire field-effect transistors. Talanta 2011, 85, 2542–2545. [Google Scholar]
  60. Niskanen, A.O.; Colli, A.; White, R.; Li, H.W.; Spigone, E.; Kivioja, J.M. Silicon nanowire arrays as learning chemical vapour classifiers. Nanotechnology 2011, 22, 295502. [Google Scholar]
  61. Paska, Y.; Stelzner, T.; Christiansen, S.; Haick, H. Enhanced sensing of nonpolar volatile organic compounds by silicon nanowire field effect transistors. ACS Nano 2011, 5, 5620–5626. [Google Scholar]
  62. Paska, Y.; Stelzner, T.; Assad, O.; Tisch, U.; Christiansen, S.; Haick, H. Molecular gating of silicon nanowire field-effect transistors with nonpolar analytes. ACS Nano 2012, 6, 335–345. [Google Scholar]
  63. Paska, Y.; Haick, H. Interactive effect of hysteresis and surface chemistry on gated silicon nanowire gas sensors. ACS Appl. Mater. Interfaces 2012, 4, 2604–2617. [Google Scholar]
  64. Wang, B.; Haick, H. Effect of functional groups on the sensing properties of silicon nanowires toward volatile compounds. ACS Appl. Mater. Interfaces 2013, 5, 2289–2299. [Google Scholar]
  65. Wang, B.; Haick, H. Effect of chain length on the sensing of volatile organic compounds by means of silicon nanowires. ACS Appl. Mater. Interfaces 2013, 5, 5748–5756. [Google Scholar]
  66. Ermanok, R.; Assad, O.; Zigelboim, K.; Wang, B.; Haick, H. Discriminative power of chemically sensitive silicon nanowire Field Effect Transistors to volatile organic compounds. ACS Appl. Mater. Interfaces 2013, 5, 11172–11183. [Google Scholar]
  67. Okano, K.; Totsuka, T. Absorption of nitrogen dioxide by sunflower plants grown at various levels of nitrate. New Phytol. 1986, 102, 551–562. [Google Scholar]
  68. Mintz, D. Guidelines for the Reporting of Daily Air Quality—Air Quality Index (AQI); EPA-454/B-06-001; U.S. Environmental Protection Agency: North Carolina, NC, USA, 2006. [Google Scholar]
  69. Gill, M.; Walker, S.; Khan, A.; Green, S.M.; Kim, L.; Gray, S.; Krauss, B. Exhaled nitric oxide levels during acute asthma exacerbation. Acad. Emerg. Med. 2005, 12, 579–586. [Google Scholar]
  70. Robinson, J.K.; Bollinger, M.J.; Birks, J.W. Luminol H2O2 chemiluminescence detector for the analysis of nitric oxide in exhaled breath. Anal. Chem. 1999, 71, 5131–5136. [Google Scholar]
  71. Korotcenkov, G.; Han, S.D.; Stetter, J.R. Review of electrochemical hydrogen sensors. Chem. Rev. 2009, 109, 1402–1433. [Google Scholar]
  72. Van der Eerdena, L.J.M.; de Visserb, P.H.B.; van Dijk, C.J. Risk of damage to crops in the direct neighbourhood of ammonia sources. Environ. Pollut. 1998, 102, 49–53. [Google Scholar]
  73. Vijayan, A.; Fuke, M.; Hawaldar, R.; Kulkarni, M.; Amalnerkar, D.; Aiyer, R.C. Optical fibre based humidity sensor using Co-polyaniline clad. Sens. Actuators B: Chem. 2008, 129, 106–112. [Google Scholar]
  74. Jie, J.S.; Zhang, W.J.; Peng, K.Q.; Yuan, G.D.; Lee, C.S.; Lee, S.T. Surface-dominated transport properties of silicon nanowires. Adv. Funct. Mater. 2008, 18, 3251–3257. [Google Scholar]
  75. Howarter, J.A.; Youngblood, J.P. Optimization of silica silanization by 3-aminopropyltriethoxysilane. Langmuir 2006, 22, 11142–11147. [Google Scholar]
  76. Whittle, C.L.; Fakharzadeh, S.; Eades, J.; Preti, G. Human breath odors and their use in diagnosis. Ann. N. Y. Acad. Sci. 2007, 1098, 252–266. [Google Scholar]
  77. Kim, I.; Rothschild, A.; Tuller, H.L. Advances and new directions in gas-sensing devices. Acta Mater. 2013, 61, 974–1000. [Google Scholar]
Figure 1. Simplified schematics of the SiNW-based (a) resistor and (b) SiNW-based FET to illustrate the differences in the electrical configuration and the way the nanowires are orientated with respect to the electrodes (E) and the source (S) and drain (D).
Figure 1. Simplified schematics of the SiNW-based (a) resistor and (b) SiNW-based FET to illustrate the differences in the electrical configuration and the way the nanowires are orientated with respect to the electrodes (E) and the source (S) and drain (D).
Sensors 14 00245f1 1024
Figure 2. Electrical characterization of nanowire thin-film transistors on plastic. (a) Schematic illustration of the active area of a transistor, with the electrodes and various layers labeled; (b) Scanning Electron Microscope image of the sensor platform. Each device (horizontal strip) is contacted by two Ti electrodes (oriented vertically) that extend to larger pads (top and bottom image edges). Inset: Digital photograph of the flexible sensor chip; (c) Electrical response of a nanowire-based sensor to 20 ppm (red curve), 2 ppm (blue curve), 200 ppb (green curve) and 20 ppb (black curve) NO2 diluted in N2. The gas is introduced to the sensing chamber after 1 min of flowing N2. Inset: An extended response of the sensor to 20 ppb NO2; the gas is introduced after 20 min of flowing N2. This figure is composed of figures taken from [31], reprinted with permission from the Nature Publishing Group ©.
Figure 2. Electrical characterization of nanowire thin-film transistors on plastic. (a) Schematic illustration of the active area of a transistor, with the electrodes and various layers labeled; (b) Scanning Electron Microscope image of the sensor platform. Each device (horizontal strip) is contacted by two Ti electrodes (oriented vertically) that extend to larger pads (top and bottom image edges). Inset: Digital photograph of the flexible sensor chip; (c) Electrical response of a nanowire-based sensor to 20 ppm (red curve), 2 ppm (blue curve), 200 ppb (green curve) and 20 ppb (black curve) NO2 diluted in N2. The gas is introduced to the sensing chamber after 1 min of flowing N2. Inset: An extended response of the sensor to 20 ppb NO2; the gas is introduced after 20 min of flowing N2. This figure is composed of figures taken from [31], reprinted with permission from the Nature Publishing Group ©.
Sensors 14 00245f2 1024
Figure 3. (a) A schematic representation of the interdigitated structure used as a substrate to grow SiNWs. W = 200 μm, L = 3–21 μm, h =100 nm, SEM images of (b) the final chemoresistive sensor and (c) SiNW network detail onto the interdigitated structure; (c) The inset shows a SEM image of self-welding NW-NW junctions present in the SiNW network. This figure is composed of figures taken from [39], reprinted with permission from the American Institute of Physics ©.
Figure 3. (a) A schematic representation of the interdigitated structure used as a substrate to grow SiNWs. W = 200 μm, L = 3–21 μm, h =100 nm, SEM images of (b) the final chemoresistive sensor and (c) SiNW network detail onto the interdigitated structure; (c) The inset shows a SEM image of self-welding NW-NW junctions present in the SiNW network. This figure is composed of figures taken from [39], reprinted with permission from the American Institute of Physics ©.
Sensors 14 00245f3 1024
Figure 4. (a) Schematic of the SBNWFET, consisting of p-type doped nanowires; (b) Energy band diagram before H2 is introduced, where EC and EV stand for the energy levels of the conduction and valence band, respectively. The holes do not experience a barrier and the contact is Ohmic. The native SiO2 layer is omitted in this diagram because it is too thin to affect transport; (c) Energy band diagram after H2 is introduced, showing the formation of a Schottky barrier; (d) Comparison of the responses of Ni and Pd contacted NWFETs to 1% H2. This figure is composed of figures taken from [36], reprinted with permission from Elsevier B.V. ©.
Figure 4. (a) Schematic of the SBNWFET, consisting of p-type doped nanowires; (b) Energy band diagram before H2 is introduced, where EC and EV stand for the energy levels of the conduction and valence band, respectively. The holes do not experience a barrier and the contact is Ohmic. The native SiO2 layer is omitted in this diagram because it is too thin to affect transport; (c) Energy band diagram after H2 is introduced, showing the formation of a Schottky barrier; (d) Comparison of the responses of Ni and Pd contacted NWFETs to 1% H2. This figure is composed of figures taken from [36], reprinted with permission from Elsevier B.V. ©.
Sensors 14 00245f4 1024
Figure 5. (a) Real-time electrical response curve of a device with vertical-standing SiNWs coated with a 7 nm thick Pd film to varying H2 concentrations in air at room temperature. The inset shows a clear and reversible response behavior even at very low H2 concentrations down to 5 ppm; (b) A plot of the sensitivity vs. H2 concentrations revealing two regimes with different rates of sensitivity change; (c) A proposed model of the hydrogen-sensing mechanism. Panel (c1) represents the initial devices with Pd-coated SiNWs with two on-top electrodes as indicated by the yellow lanes. The inset of the left panel shows a magnified distribution of SiNWs inside a cluster, indicating that the wires do not touch each other. Panel (c2) illustrates nanowire contacts inside clusters with gaps between neighboring clusters. In this case, the current flows through slanted nanowires between clusters. The inset is a magnified picture of contacted distribution of SiNWs inside a cluster. Panel (c3) illustrates the formation of current paths between neighboring clusters by large volume expansion of the Pd film caused by absorption of high concentrations of H2. This figure is composed of figures taken from reference [37], reprinted with permission from The Royal Society of Chemistry ©.
Figure 5. (a) Real-time electrical response curve of a device with vertical-standing SiNWs coated with a 7 nm thick Pd film to varying H2 concentrations in air at room temperature. The inset shows a clear and reversible response behavior even at very low H2 concentrations down to 5 ppm; (b) A plot of the sensitivity vs. H2 concentrations revealing two regimes with different rates of sensitivity change; (c) A proposed model of the hydrogen-sensing mechanism. Panel (c1) represents the initial devices with Pd-coated SiNWs with two on-top electrodes as indicated by the yellow lanes. The inset of the left panel shows a magnified distribution of SiNWs inside a cluster, indicating that the wires do not touch each other. Panel (c2) illustrates nanowire contacts inside clusters with gaps between neighboring clusters. In this case, the current flows through slanted nanowires between clusters. The inset is a magnified picture of contacted distribution of SiNWs inside a cluster. Panel (c3) illustrates the formation of current paths between neighboring clusters by large volume expansion of the Pd film caused by absorption of high concentrations of H2. This figure is composed of figures taken from reference [37], reprinted with permission from The Royal Society of Chemistry ©.
Sensors 14 00245f5 1024
Figure 6. (a) Schematic description of surface modification by self-heating of a nanowire: in method 1, nanoparticles are formed by a hydrothermal reaction via Joule heating of a SiNW. In method 2, a metal thin film is locally deposited on a SiNW after PMMA decomposition, metal evaporation and lift-off. SEM images of the nanoparticle-decorated SiNW via Joule heating in a liquid metal precursor environment; (b) Pd nanoparticles selectively coated on the heated SiNW; and (c) high magnification SEM image of Pd nanoparticles on the heated SiNW. This figure is composed of figures taken from [40], reprinted with permission from the Royal Society of Chemistry ©.
Figure 6. (a) Schematic description of surface modification by self-heating of a nanowire: in method 1, nanoparticles are formed by a hydrothermal reaction via Joule heating of a SiNW. In method 2, a metal thin film is locally deposited on a SiNW after PMMA decomposition, metal evaporation and lift-off. SEM images of the nanoparticle-decorated SiNW via Joule heating in a liquid metal precursor environment; (b) Pd nanoparticles selectively coated on the heated SiNW; and (c) high magnification SEM image of Pd nanoparticles on the heated SiNW. This figure is composed of figures taken from [40], reprinted with permission from the Royal Society of Chemistry ©.
Sensors 14 00245f6 1024
Figure 7. (a) Schematic representation of the (left) APTES- and (right) peptide-modified SiNW surface. Note that two different peptides have been used, i.e., an ammonia recognition peptide and an acetic acid recognition peptide; (b) Electrical responses of the three different devices (APTES, NH3 peptide and acetic acid peptide) to ammonia and acetic acid vapors (100 ppm in N2) introduced to the sensing chamber after 5 min of flowing N2; (c) Conductance responses of the peptide-nanowire hybrid sensors, averaged over a 5 min time window of target vapor exposure (starting 10 min after the analyte gas exposure), and normalized to the amine-terminated sensor. Figures b,c are taken from [44], reprinted with permission from American Chemical Society ©.
Figure 7. (a) Schematic representation of the (left) APTES- and (right) peptide-modified SiNW surface. Note that two different peptides have been used, i.e., an ammonia recognition peptide and an acetic acid recognition peptide; (b) Electrical responses of the three different devices (APTES, NH3 peptide and acetic acid peptide) to ammonia and acetic acid vapors (100 ppm in N2) introduced to the sensing chamber after 5 min of flowing N2; (c) Conductance responses of the peptide-nanowire hybrid sensors, averaged over a 5 min time window of target vapor exposure (starting 10 min after the analyte gas exposure), and normalized to the amine-terminated sensor. Figures b,c are taken from [44], reprinted with permission from American Chemical Society ©.
Sensors 14 00245f7 1024
Figure 8. (a) Schematic illustration of the periodically porous top electrode (PTE) nanowire array sensor concept; (b) Sensor response to various concentrations of NO2 and NH3 following 2 min of clean air: 1 ppm of NH3 (red), 500 ppb of NH3 (black), 1 ppm of NO2 (green) and 500 ppb of NO2 (blue) at ∼30% RH. This figure is composed of figures taken from [48], reprinted with permission from IOP Publishing Ltd ©.
Figure 8. (a) Schematic illustration of the periodically porous top electrode (PTE) nanowire array sensor concept; (b) Sensor response to various concentrations of NO2 and NH3 following 2 min of clean air: 1 ppm of NH3 (red), 500 ppb of NH3 (black), 1 ppm of NO2 (green) and 500 ppb of NO2 (blue) at ∼30% RH. This figure is composed of figures taken from [48], reprinted with permission from IOP Publishing Ltd ©.
Sensors 14 00245f8 1024
Figure 9. (a) The response of an APTES-functionalized SiNW device to (red) 5 μM solutions and (blue) 5 nM solutions. The inset shows the two different kind of interactions between TNT and the NH2-terminated SiNWs; (b) Molecular structures of six different N-containing compounds: (1) TNT; (2) 2,6-dinitrotoluene; (3) 2,4-dinitrophenol; (4) aniline; (5) (1,3,5-trinitroperhydro-1,3,5-triazine; and (6) p-nitrophenol. Figure based on graphs taken from [55] and used with permission from Wiley-VCH©.
Figure 9. (a) The response of an APTES-functionalized SiNW device to (red) 5 μM solutions and (blue) 5 nM solutions. The inset shows the two different kind of interactions between TNT and the NH2-terminated SiNWs; (b) Molecular structures of six different N-containing compounds: (1) TNT; (2) 2,6-dinitrotoluene; (3) 2,4-dinitrophenol; (4) aniline; (5) (1,3,5-trinitroperhydro-1,3,5-triazine; and (6) p-nitrophenol. Figure based on graphs taken from [55] and used with permission from Wiley-VCH©.
Sensors 14 00245f9 1024
Figure 10. (a) Molecular structure of DPCP and two structurally related phosphates; (b) Sensitive receptor towards DPCP. Compound 1 converts into compound 2 upon exposure nerve agent simulant DPCP. The red oval highlights an alkyne group, which can react with H-terminated Si to form a stable Si-C bond; (c) Change in drain current of a SiNW FET device that has been modified with compound 1 upon exposure to DPCP, which was introduced at t = 240 s. Figure c is taken from [54] with permission Wiley-VCH.
Figure 10. (a) Molecular structure of DPCP and two structurally related phosphates; (b) Sensitive receptor towards DPCP. Compound 1 converts into compound 2 upon exposure nerve agent simulant DPCP. The red oval highlights an alkyne group, which can react with H-terminated Si to form a stable Si-C bond; (c) Change in drain current of a SiNW FET device that has been modified with compound 1 upon exposure to DPCP, which was introduced at t = 240 s. Figure c is taken from [54] with permission Wiley-VCH.
Sensors 14 00245f10 1024
Figure 11. Simplified scheme of the attachment of hexyltrichlorosilane (HTS) to the SiO2 surface: (a) Preparation of surface hydroxyl (Si–OH) groups; (b) Exposure to trimethylamine (TMA) to form a hydrogen bond with the Si–OH group to make the oxygen atom more nucleophilic; (c) Exposure to 1.5 mM of HTS in chloroform. At this stage, the oxygen atom of the Si–OH group attacks the silicon atom of HTS to form a Si–O–Si bond as given in (d); (e) The presence of water residues assists the replacement of chlorine atoms by OH groups to form the final product given in (f); (g) Schematic illustration of molecular layer with different functional groups on the SiNW surface. Figure a–f and Figure g are taken from [63] and [64], respectively, reprinted with permission from American Chemical Society ©.
Figure 11. Simplified scheme of the attachment of hexyltrichlorosilane (HTS) to the SiO2 surface: (a) Preparation of surface hydroxyl (Si–OH) groups; (b) Exposure to trimethylamine (TMA) to form a hydrogen bond with the Si–OH group to make the oxygen atom more nucleophilic; (c) Exposure to 1.5 mM of HTS in chloroform. At this stage, the oxygen atom of the Si–OH group attacks the silicon atom of HTS to form a Si–O–Si bond as given in (d); (e) The presence of water residues assists the replacement of chlorine atoms by OH groups to form the final product given in (f); (g) Schematic illustration of molecular layer with different functional groups on the SiNW surface. Figure a–f and Figure g are taken from [63] and [64], respectively, reprinted with permission from American Chemical Society ©.
Sensors 14 00245f11 1024
Table 1. Overview of SiNW-based sensors for the detection of inorganic gases and vapors and organic explosives, nerve agents and VOCs.
Table 1. Overview of SiNW-based sensors for the detection of inorganic gases and vapors and organic explosives, nerve agents and VOCs.
YearFirst AuthorApproach aSiNW Size bFunctionalizationPrincipleTarget(s)Sensitivity/ Measured Concentration cRef.
Inorganic CompoundsGases2007McAlpineTD (p)18 nm (w) 5 μm (l)BareFETNO220 ppb (s)[31]
2007ChenBU (n) *80–200 nm (d) ∼2.5 μm (l)Pd NPsFETH25% (mc)[32]
2009WanTD (p)75, 130 nm (w) 20 μm (l)BareResistorNO2, NH3250 ppm (mc) 250 ppm (mc)[33]
2009PengTD (n)No dataBareResistorNO500 ppb (mc)[34]
2010GaoTD (p)22, 75 nm (w) 2 μm (l)BareResistorNO2250 ppm (mc)[35]
2010SkuchaBU (p)30 nm (d) 2 μm (g)BareFETH23 ppm - 5% (mc)[36]
2011NohTD (n)30–40 nm (d) 20 μm (h)Pd coatingResistorH2∼5 ppm (s)[37]
2011GaoTD (p)22–100 nm (w) 2 μm (l)BareResistorNO2250 ppm (mc)[38]
2012CuscunàBU (p) &150–200 nm (d) 5–8 μm (l)Amino groups (via ammonia plasma)Resistor (IDE) $NO210 ppb (mc)[39]
2013YunTD (p)100 nm (w) 3 μm(l)Pd NPsResistorH20.5% (mc)[40]
Vapors2003ZhouBU (n) &∼20 nm (d) 5 mm (g)Si-H (via HF etch)ResistorNH3, H2O1,000 ppm (mc), 60% humidity (mc)[41]
2006TalinTD (p)76 ± 5 nm (d) 4 μm (g)BareFETNH3No data[42]
2006KaminsBU (p)∼200 nm (d) 7 μm (l)BareResistorNH3, HClSat. con. (mc) 8[43]
2008McAlpineTD (p)16 nm (w) 5 μm (l)PeptidesResistorNH3, AcOH100 ppm (mc) 100 ppm (mc)[44]
2009LiTD (n)80 μm (l)BareResistorHumidity10%–100% (mc)[45]
2011PassiTD (p)25 nm (w) 300 nm (l)DPCP receptor 1 OTS 2FETHumidityAmbient (mc)[46]
2011HsuehBU (p) &90 nm (d) 1.6 μm (l)AuResistorHumidity30%–95% (mc)[47]
2011InTD (p)∼200 nm (d) 4–6 μm (l)PTE from metal 3ResistorNH3, NO21 ppb (s) 10 ppb (s)[48]
2011ChenTD (n)55 μm (l)HexamethyldisilazaneResistor (IDE) $Humidity11.3%–93% (mc)[49]
2012DemamiBU (n)100 nm (d) 20 μm (l)BareResistorNH3, smokeNo data[50]
TD (n)100 nm (d) 10 μm (l)
2012NiBU (n)150 nm (d) >20 μm (l)BareResistorNH3175–700 ppm (mc)[51]
2013TaghinejadBU (n)20–600 nm (d)BareResistorHumidity42%–92% (mc)[52]
2013YangBU #50 nm (d)Te NPsResistorNH3, propylamine10–400 ppm (mc) 5–25 ppm (mc)[53]
Organic CompoundsExplosives2010ClavagueraTD (p)0.2, 1, 4 μm (w) 2, 4 μm (l)DPCP receptor 1FETDPCP 1500–800 ppb (mc)[54]
2010EngelBU (p)2 μm (g)APTES 4FETTNT 610-2 ppt (s)[55]
2012WangTD (p)100, 200, 400 nm (w) 100 μm (l)H2/O2 plasma treatmentResistorNitro explosivesSat. con. (mc) 8[56]
Nerve Agents2010KimBU (p)50 nm (w) 3 μm (l)BareResistorDMMP 7No data[57]
2011PassiTD (p)25 nm (w) 300 nm (l)DPCP receptor 1FETDPCP 1500-800 ppb (mc)[58]
2011ClavagueraTD (p)0.2, 1, 4 μm (w) 2, 4 μm (l)DPCP receptor 1FETDPCP 1500 ppb (mc)[59]
VOCs2011NiskanenBU #30–70 nm (d) ≥400 nm (l)BareFETDifferent VOCsNo data[60]
TD #50 nm (d)
2011PaskaBU (p)60 nm (d) 2 μm (g)CH3(CH2)15SiCl3FET(Non) polar VOCsppm level (mc)[61]
2012PaskaBU (p)50 ± 5 nm (d) 2 μm (g)CH3(CH2)15SiCl3FETNonpolar VOCsppm level (mc)[62]
2012PaskaBU (p)50 ± 5 nm (d) 2 μm (g)CH3(CH2)nSiCl3 (n + 1 = 3, 6, 8, 12, 18)FET(Non) polar VOCsppm level (mc)[63]
2013WangBU (p)40 ± 8 nm (d) 2 μm (g)APTES + 4 different carbonyl chlorides 5FET(Non) polar VOCs0.01–0.08 pa/po (mc) 9[64]
2013WangBU (p)40 ± 8 nm (d) 2 μm (g)APTES + CH3(CH2)nCOCl (n + 1 = 6, 7, 10, 11) 5FET(Non) polar VOCs0.01–0.08 pa/po (mc) 9[65]
2013ErmanokBU (p)40 ± 8 nm (d) 2 μm (g)Silanes with different chain lengths and end groupsFET(Non) polar VOCs0.01–0.08 pa/po (mc) 9[66]

aTD: top down; BU: bottom up; p: positive type doping; n: negative type doping;bw: width; l: length; d: diameter; g: gap between electrode contacts;cs: sensitivity; mc: measurement concentration;*no doping, but n-type behavior;&type of doping not reported, but deduced from the sensor response data;#non-doped;$interdigitated electrode;1DPCP: diphenylchlorophosphate (Figure 10a); its receptor is 3-(4-ethynylbenzyl)-1,5,7-trimethyl-3-aza-bicyclo[3.3.1]nonane-7-methanol (EBTAM or TABINOL, compound 1 in Figure 10b);2OTS: octadecyltrichlorosilane;3PTE: porous top electrode (Figure 8a);4APTES: 3-aminopropyltriethoxysilane (Figure 7a);5APTES-modified SiNW were reacted with acyl chlorides to form amides (Figure 11g);6TNT: 2,4,6-trinitrotoluene;7DMMP: dimethylmethylphosphonate (Figure 10a);8Sat. con.: saturated concentration;9pa: VOC's partial pressure, po: vapor pressure.

Share and Cite

MDPI and ACS Style

Cao, A.; Sudhölter, E.J.R.; De Smet, L.C.P.M. Silicon Nanowire‐Based Devices for Gas-Phase Sensing. Sensors 2014, 14, 245-271. https://doi.org/10.3390/s140100245

AMA Style

Cao A, Sudhölter EJR, De Smet LCPM. Silicon Nanowire‐Based Devices for Gas-Phase Sensing. Sensors. 2014; 14(1):245-271. https://doi.org/10.3390/s140100245

Chicago/Turabian Style

Cao, Anping, Ernst J.R. Sudhölter, and Louis C.P.M. De Smet. 2014. "Silicon Nanowire‐Based Devices for Gas-Phase Sensing" Sensors 14, no. 1: 245-271. https://doi.org/10.3390/s140100245

Article Metrics

Back to TopTop