Next Article in Journal
Microfluidic Droplet Extraction by Hydrophilic Membrane
Previous Article in Journal
Label-Free Monitoring of Diffusion in Microfluidics
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Asymmetric Drain Extension Dual-kk Trigate Underlap FinFET Based on RF/Analog Circuit

1
School of Electronic Engineering, Beijing University of Posts and Telecommunications, Haidian District, Beijing 100876, China
2
School of Engineering, University of Edinburgh, Edinburgh EH9 3FF, UK
*
Author to whom correspondence should be addressed.
Micromachines 2017, 8(11), 330; https://doi.org/10.3390/mi8110330
Submission received: 24 July 2017 / Revised: 25 October 2017 / Accepted: 1 November 2017 / Published: 9 November 2017

Abstract

:
Among multi-gate field effect transistor (FET) structures, FinFET has better short channel control and ease of manufacturability when compared to other conventional bulk devices. The radio frequency (RF) performance of FinFET is affected by gate-controlled parameters such as transconductance, output conductance, and total gate capacitance. In recent years, high-k spacer dielectric materials for manufacturing nanoscale devices are being widely explored because of their better electrostatic control and being less affected by short channel effects (SCEs). In this paper, we aim to explore the potential benefits of using different Dual-k spacers on source and drain, respectively: (AsymD-kk) trigate FinFET structure to improve the analog/RF figure of merit (FOM) for low-power operation at 14 nm gate length. It has been observed from the results that the AsymD-kk FinFET structure improves the coupling of the gate fringe field to the underlap region towards the source and drain side, improving the transconductance (gm) and output conductance (gds) at the cost of an increase in Miller capacitance. Furthermore, to reduce the drain field influence on the channel region, we also studied the effect of asymmetric drain extension length on a Dual-kk FinFET structure. It can be observed that the new asymmetric drain extension structures significantly improve the cutoff frequency (fT) and maximum oscillation frequency (fmax) given the significant reduction of inner fringe capacitance towards drain side due to the shifting of the drain extension’s doping concentration away from the gate edge. Therefore, the asymmetric drain extension Dual-kk trigate FinFET (AsymD-kkDE) is a new structure that combines different Dual-k spacers on the source and drain and asymmetric drain extension on a single silicon on insulator (SOI) platform to enhance the almost all analog/RF FOM. The proposed structure is verified by technology computer-aided design (TCAD) simulations with varying device physical parameters such as fin height, fin width, aspect ratio, spacer width, spacer material, etc. From comprehensive 3D device simulation, we have demonstrated that the proposed device is superior in performance to a conventional trigate FinFET and can be used to design low-power digital circuits.

1. Introduction

The introduction of FinFET technology has become an important milestone in the electronics industry. Commercially, Intel started using trigate FinFET technology at 14 nm [1], while most other semiconductor industries and foundries are expected to adopt FinFETs at 10/7 nm in the near future. Short channel effects (SCEs) are of serious concern in nano-scaled devices, affecting both radio frequency (RF) and analog performance [2,3,4]. Among the family of multigate structures, FinFET has the potential to suppress short channel effects, thereby enhancing the performance of RF/analog. Also, many of the advantages of FinFET technology come with several device-circuit co-design challenge [5]. Most of these challenges arise due to technological restrictions that degrade the short channel characteristics. While the introduction of underlaps improves the short-channel performance of the devices, drive current is reduced due to higher series resistance in the underlap regions. However, there is always a tradeoff between SCEs and source/drain (S/D) extension region resistance. A lot of work has been reported regarding the improvement on device SCEs of underlap FinFET with the help of S/D extension region engineering [6]. Moreover, high permittivity spacer materials have emerged as a potential performance booster to achieve better electrostatic control in ultra-scaled underlap devices [7].
At device level, several researchers have focused on the integration of high-k materials as a gate-dielectric or spacers [8,9,10,11,12,13,14]. Dual-k spacer double gate structure has been reported by [8] to control direct source to drain tunneling (DSDT) with improved SCEs. The fringe field phenomenon through these high-k gate dielectric has been studied by a few researchers from circuit perspectives in [9,10]. Pal et al. [11] have proposed that excellent control over channel and significant improvement in drive currents are achieved when employing Dual-k spacers in the underlap region. The use of Dual-k drain side spacers is proposed in [12] to increase leakage current Ion without any significant degradation in outer fringe capacitance. A detailed capacitive analysis of symmetric and asymmetric Dual-k FinFETs for improved circuit delay metrics can be found in [13]. Singh et al. have highlighted a 14nm Analog and RF technology based on a logic FinFET platform for the first time and explored the direct impact of spacer engineering for RF/analog performance [14]. This paper presents a comprehensive study on 3D trigate FinFET to understand the effect of different asymmetric Dual-k spacers respectively and the asymmetric drain extension. Here, we propose that the use of different asymmetric Dual-k spacers and the asymmetric drain extension reflects the best improvement for higher drive current (on-off leakage current ratio Ion/Ioff) and cutoff frequency (fT), with the disadvantages of parasitic capacitance increasing and slightly lower intrinsic gain (Av). A comprehensive study of optimal device parameters and RF/analog FOM due to permittivity spacers on circuit performances is still critically required.
This paper investigates the effect of using high-k spacers and its length from the circuit perspective and optimizes the device architecture for better RF/analog performance. Meanwhile, we have focused on variation of fin height (Hfin), fin width (Wfin), aspect ratio (AR = Hfin/Wfin) and Source/Drain extension length (Lext), studying its effect on RF/analog performance compared to conventional Dual-k spacer-based underlap SOI FinFETs. As these design parameters determine the FOM as well as other processing challenges, an analysis of the key parameters is crucial for achieving a reduction in device dimensions. The rest of the paper is arranged as follows. Section 2 briefly describes the asymmetric Dual-kk trigate FinFET device architecture and simulation methodology adopted. Device physics and RF/analog performance study aspects are designed and analyzed in Section 3. In Section 4, we propose a new structure—asymmetric drain extension (AsymD-kk)—and investigate its possible impact on the analog behavior of the device. Finally, Section 5 concludes the paper.

2. Asymmetric Dual-kk Trigate FinFET Structure and Performance Study

The asymmetric Dual-kk trigate underlap FinFET under study is shown in Figure 1. It consists of a different inner high-k on source (HfO2, 12 nm) and drain (Si3N4,12 nm), and outer low-k spacer material (SiO2, 8 nm) that contrasts with the different device structures, namely both side low-k spacers (conventional), both side Dual-k spacer (Dual-k), and source side only Dual-k spacer (Dual-kS). The physical and electrical parameters are calibrated to meet the specifications according to ITRS projections for 14 nm physical gate length (Lg) [4]. Accordingly, the fin thickness (Wfin), fin height (Hfin), and equivalent oxide thickness (EOT) are 6 nm, 20 nm, and 0.8 nm, respectively. The metal-gate work functions are tuned to 4.45 eV for p-type to achieve a requisite threshold (Vth) at a supply voltage of 0.9 V. Source/Drain (S/D) extension region uses Gaussian-doping profiles followed by a later doping gradient of 3 nm/decade. The S/D extension length (Lext) is taken as 20 nm (i.e., greater than the physical gate length). The channel and underlap regions are lightly doped with a boron concentration of 1 × 1016 cm−3 to reduce random dopant fluctuations (RDF) [13]. The raised source/drain regions have been formed to reduce the parasitic resistance associated with thin fins.
Moreover, to consider the gate-to-source/drain (G-S/D) capacitance, metal contacts are taken into consideration. The gate-electrode thickness (Tg) is nearly twice the gate length value [7]. The inner high-k spacer (Lhk) and outer low-k spacer length (Llk) are tuned to 12 nm and 8 nm, respectively, for an underlap length (Lext) of 8 nm. The thickness of the buried-oxide (BOX) layer is 25 nm. Tmask represents the hard mask thickness on top of a silicon fin. Tpoly is the geometrical thickness of the gate material on top of the hard mask layer. Tox is the thickness of the gate oxidation. The nominal device parameters are listed in Table 1.
Figure 2 shows the small-signal equivalent circuit model of FinFET, where the intrinsic elements Cgsi, Cgdi, Cdsi, gdi, and gmi are bias-dependent, whereas extrinsic capacitances Cgse, Cgde and Cdse originate from the overlap between the source and drain regions and the thin gate oxide, and the fringing electric field between contacts. Also, the bias independent extrinsic series resistances Rge, Rse, and Rde are included. The intrinsic elements, which are parameters related to the physical phenomena inside the metal–oxide–semiconductor field-effect transistor (MOSFET) active region, depend on the geometry of the transistor and bias conditions [15]. The parasitic elements that surround the channel in order to get access to it are geometrically dependent but independent of the bias conditions. Recently, it has been established that the extrinsic gate capacitance is the main parameter responsible for the limited cut-off frequencies experimentally observed for triple-gate FinFETs [16].
Two RF figures of merit, namely, the current-gain cutoff frequency (fT) and the maximum oscillation frequency (fmax), are evaluated using the following equation [17]:
f T = g m i 2 π 1 C g g ( 1 + g d i R s e ) + C g d e R s e g m i
where Cgg = Cgge + Cggi, gmi and gdi are the intrinsic transconductance and output conductance, respectively, and Rse is the parasitic source resistance.
f m a x = g m i 2 π C g s 2 ( C g d C g s ) g d i ( R s e + R g e ) + 1 2 C g d C g s ( R s e g m i + C g d C g s )
The optimization of the fin geometry will have also an impact on fmax, thanks to the reduction of the total extrinsic gate capacitance as well as the source and gate parasitic resistances. Therefore, optimal geometric parameters adjustments can produce improvements in fT and fmax.
Three-dimensional simulations of devices were carried out using a TCAD 3D Sentaurus device simulator activating modified local-density approximation (MLDA) quantization model, a Lombardi mobility model accounting for mobility degradation at the semiconductor–insulator interface, a doping dependence SRH recombination or generation for deep defect levels at the gaps, a band to band auger recombination, and old slot boom bandgap narrowing phenomenon [18]. The RF/analog FOM are extracted at Ids = 10 μA/μm targeting weak/moderate inversion regime of operation. Cutoff frequency (fT) is extracted from current gain (h21) through an extrapolation of the –20 dB/decade slope, whereas maximum oscillation frequency (fmax) is extracted from Mason’s unilateral gain (MUG) through an extrapolation of –20 dB/decade slope. The maximum oscillation frequency is a figure of merit related to the capability of the device to provide maximum available power gain at a large frequency [19]. Heavily doped raised source/drain regions are chosen for low parasitic resistance [20]. However, these do not affect the device performance significantly at such low drive currents. Gate height is chosen to be double Hfin in accordance with the effective spacer formation step [21].

3. Design and Analysis of RF/Analogy Performance of AsymD-kk FinFET

The use of high-k sidewall spacers can better screen the gate fringe field towards the source/drain side over the underlap region. This increases the fringe field coupling between the gate and underlap regions and lowers the barrier of the underlap region in the strong inversion region [22]. For weak/moderate inversion, restricting the high-k spacer to underlap regions leads to a shifting in the lateral drain field at the gate edge towards the drain side, resulting in an improvement in gm and gds [8]. Consequently, in this section various performance metrics like Ion, Ioff, gm, gds, Cgg, fT, output resistance (Ro), and gain (Av) are evaluated and the sensitivity of said parameters with Hfin, Wfin, and extended length (Lext) are systematically presented. Subsequently, we selected a fixed Lext of 20 nm with HfO2 and Si3N4 on source and drain, respectively, as inner high-k spacer length (Lhk) and other varied parameters to study the effects of gate electrostatic integrity (EI) and in turn its effect on variations of RF/analog FOM of FinFET. Relying on the analysis of the experimental data, the AsymD-kk FinFET optimum parameters are selected and determined.

3.1. Fin Height (Hfin)

Taller fins are required for high-drive current and matching the current drivability, whereas narrow fins ensure better SCE immunity. It is important to forecast improvement in fT and fmax as traditional scaling of a FinFET is only achievable by choosing the optimal value of Hfin and Wfin. This phenomenon has been confirmed in [17,23]. However, manufacturing challenges and associated mechanical stresses are major concerns with taller fin devices. With increasing AR (Hfin/Wfin), the height may concentrate larger internal stresses in their relatively narrow base, causing fracture and in turn operational failure [24].
Figure 3 plots the analog and RF FOM of AsymD-kk FinFET with varying AR compared to low-k, Dual-k, and Dual-kS FinFET structures. It is observed from Figure 3a that both Ioff, and Ion are increasing with the increase in Hfin. Hence, for higher current drivability and better SCE immunity, taller fins are required. When introducing the asymmetric Dual-kk spacer at source and drain side, the gate has more control over the channel, which results in a reduction in Ioff and improvement of Ion. So it has been noticed that Dual-k structure shows a consistently higher Ion/Ioff improvement (~1.6 times) in comparison with the conventional structure, followed by AsymD-kk and AsymD-kS structures. Moreover, AsymD-kk structure showed the highest improvement in gm by 50.39% with respect to the conventional structure, followed by AsymD-kS and Dual-k structures. Dual-k structure shows the lower value of gds (~58.71%) in comparison to other structures. AsymD-kk and AsymD-kS structures show almost the same value of gds at a height below 15 nm; subsequently, the AsymD-kk structure has a lower gds and an improved Hfin.
Figure 3c shows the variation in Cgg and output resistance Ro with respect to fin height. The Dual-k structure shows the maximum Cgg followed by AsymD-kS, AsymD-kk, and conventional structures. Moreover, AsymD-kS and AsymD-kk structures show almost the same value of Ro, which is lower than that obtained for a Dual-k structure. This shows that the AsymD-kk structure has the potential to reduce parasitic feedback capacitance and thereby improves the gate control over the channel region, resulting in the reduction of SCEs at short channel lengths.
As shown in Figure 3d, the Dual-k structure shows the highest value of Av, followed by AsymD-kS, AsymD-kk, and conventional structures. However, the AsymD-kk structure shows a slight reduction (~14.49%) in Av in comparison with the Dual-k structure, but is higher than the AsymD-kS structure (about 8.48%). Compared to the increase in transconductance, the improvement of gds is more obvious, resulting in a slight decrease. Meanwhile, as can be seen from the figure, the AsymD-kk structure has the best fT (~29.69%) in comparison with the conventional structure, followed by AsymD-kS and Dual-k structures. As we know, fmax is inversely proportional to (gds + 2π fTCgg)1/2 [25]; an increase in fT is counteracted by the reduction in gds for the AsymD-kk structure in comparison to other structures. Therefore, fmax is highest for the AsymD-kk structure with the variation of Hfin. Considering the manufacturing challenges and limited performance improvements of taller fins, it is desirable to aim for a AsymD-kk structure FinFET with suitable fins (~20 nm) that outperforms the other structures in all FOMs.

3.2. Fin Width (Wfin)

Due to the close proximity of multiple gates at smaller Wfin, the longitudinal electric field at the source end of the device can be easily screened out, which increases the EI. However, as the transistors are scaled down, variations in critical transistor attributes such as Wfin and Lext are becoming major issues in transistor design. The variations become larger as the feature sizes approach the fundamental dimensions such as the size of atoms and the wavelength of usable light for patterning lithography masks [26]. Of particular importance are RF/analog circuits, where device-level performance variation can make the specifications of the particular circuit fall below or rise above the desired value.
Figure 4 shows the variation of RF/analog FOM with Wfin. At the aforementioned technology node, we have varied the Wfin from 0.2 Lg to 1.2 Lg. By choosing a smaller Wfin, we are able to minimize the longitudinal electric field. However, as scaling approaches the fundamental dimensions such as atomic size range and the sensitivity of the device, the parameters have a greater impact on the device performance, particularly in the case of RF/analog performance. It is observed that narrow fins are preferred for achieving higher Ion/Ioff compared to low-k FinFET. From highest to lowest, we have Dual-k, AsymD-kk, and AsymD-kS structures. Also, we notice that gm, gds, and Cgg increase almost linearly with increasing Wfin. The AsymD-kk structure has the highest improvement in gm (~51.38%) and the lowest value of Cgg (~6.12%) in comparison to the conventional structure, followed by the AsymD-kS and Dual-k structures at a fin width of less than 10 nm. The Dual-k structure shows a lower value of gds in comparison to other structures. However, the AsymD-kS and AsymD-kk structures show almost the same value of gds. All designs show almost the same value of Ro when varying Wfin.
Figure 4d shows the variation in output resistance and Av with respect to the fin width. It can be noticed that the AsymD-kk structure has the highest improvement in fT (~25.11%) compared to the conventional structure, followed by the AsymD-kS and Dual-k structures. Meanwhile, despite the increase in gm, there is a large improvement in the gds value for lower Wfin values. The AsymD-kk structure shows a lower Av (~18.06%) compared to the Dual-k structure, but outperforms traditional structures 1.11-fold. In addition, the percentage improvement in fT of the AsymD-kk structure is limited below 0.5 Lg. This may be attributed to the fact that the effective screening of gate fringing fields is improved with Wfin scaling, thereby increasing the gate capacitance. Therefore, designing AsymD-kk FinFET with AR ~3 and Wfin in the range 0.5–0.7 Lg is a better option as compared to low-k FinFET.

3.3. Source/Drain Extension Length (Lext)

A similar type of analysis as that employed in the previous section was systematically discussed for the variation of Hfin and Lext. An increase in underlap extension length (Lext) improved the gate controllability with reduced SCEs because of a shift in the lateral electric field from the gate edge toward the drain. Gate fringe-induced barrier lowering (GFIBL) has been observed in undoped underlap FinFET with an increase in the dielectric constant of the spacer region (Lext) [21]. The barrier to the lateral drain electric field is lowered in strong inversion because of an increase in the coupling of gate fringing fields to the undoped underlap portion of FinFET. As the spacer length is increased, more and more fringing fields are coupled to the underlap portion, thereby improving the short channel effects at low electron energies.
Figure 5 plots the variation of RF/analog FOM with Source/Drain extension length (Lext). It is worth noting that the Ioff, Ion, gm and gds of both designs decrease linearly with an increase in Lext due to a reduction in gate control over the increased effective channel region. Compared to the conventional structure, the Dual-k structure is preferred to achieve higher Ion/Ioff, followed by AsymD-kk and AsymD-kS, but the percentage improvement in Ion/Ioff is reduced at higher Lext Additionally, AsymD-kk structures have the highest value of gm (~57.19%) and lowest value of gds (~27.44%) with respect to the conventional structure. Simultaneously, AsymD-kk has a minimum value of Cgg (~6.45%) and slightly larger Ro compared to other structures. However, the total gate capacitance has a greater impact on the performance of the device than Ro, and we can change other key device parameters to adjust the output resistance to the adaptive demand of the design.
It can be noticed from Figure 5d that the cut-off frequency fT of AsymD-kk FinFET is higher when varying Lext compared to its counterparts. From the chart, the percentage reduction in Cgg is less than that found for gm, with an increase in Lext Therefore, AsymD-kk shows a slight reduction in fT with Lext, which is shown in Figure 5d. Meanwhile, the Dual-k structure shows the maximum gain Av compared to conventional structures, followed by AsymD-kS and AsymD-kk, but almost no variation with an increase in value of Lext Therefore, the FOM improvement of the AsymD-kk design is enhanced at approximately Lext ~20 nm, as shown in Figure 5.

3.4. Inner High-k Spacer Length (Lhk)

As the devices are scaled down to nano-scale regime formation, an ultra-shallow junction (USJ) can control the lateral electric field spread into the channel region [4]. The length of the inner high-k spacer has a direct impact on RF/analog FOM and formation of USJ. This is attributed to the fact that the fringing field screening via inner high-k spacer is more pronounced when the underlap portion near the gate edges remains undoped or lowly doped. To distinguish the effect of the high-permittivity spacer on underlap FinFET, Lhk is varied from the gate to the source/drain edges for a fixed underlap length (Lun) of 8 nm. Consequently, the same spacer extension length (Lext = Lhk + Llk) of 20 nm is selected for AsymD-kk FinFET, selecting optimized Lhk from 0 nm to 20 nm for analysis.
It can be observed from Figure 6 that, on both designs, Ioff and Ion increase linearly with increasing Lhk. The parasitic resistance problem can be avoided by using higher Lhk, which further increases the drain current and improves the SCE immunity. Meanwhile, by comparing the data in the figure, we can confirm that Lhk ~12 nm represents the optimum predicted scenario. The AsymD-kk structure shows greater values of gm and gds with respect to variation of Lhk compared to the Dual-k and AsymD-kS structures. Furthermore, the AsymD-kk structure has a lower value of Cgg and average Ro compared with other structures. At this appropriate length (~12 nm), we can obtain the maximum fT and Av, and a relatively smaller gate capacitance. When compared to the Dual-k structure, the AsymD-kk structure has a lower Av (~7.35%) because the improvement in gm is found to be less than that of gds. However, the AsymD-kk structure shows the highest value of fT (~18.79%). Also, the device acquires an appropriate threshold voltage (Vth) and subthreshold slope (SS), which can better suppress the short channel effect and drain-induced barrier lowering (DIBL). Therefore, the FOM improvement of the AsymD-kk design is enhanced at approximately Lhk ~12 nm, as shown in Figure 6.

4. Asymmetric Drain Extension Dual-kk Trigate Underlap FinFET (AsymD-kkDE)

From the previous analysis of AsymD-kk spacer structures, it was found that, with the exception of Av, all other analog parameters are better in the AsymD-kk structure than in the Dual-k structure. Simultaneously, it was found that the AsymD-kk structure outperforms the other two structures in terms of gds, resulting in a slight reduction (~14.49%) of Av, despite having an increased value of gm. However, the AsymD-kk structure shows improvement in gm, fT, and fmax and a reduction in Cgg in comparison to the Dual-k and AsymD-kS structures. The main reason for the observed improvement of gds compared with gm of the AsymD-kk structure is that there is less screening of the gate electric field towards the drain side since there is a lower high-k spacer towards the drain side in AsymD-kk structure, unlike in Dual-k structures.
To reduce the effect of the drain over the channel region and retain the Dual-k structure of the source and drain, we used an AsymD-kkDE (asymmetric drain extension Dual-kk trigate underlap FinFET) structure. This section describes the potential benefits of using AsymD-kkDE to further improving the analog/RF FOM. Figure 7a shows the variation of Ioff and Ion with respect to the drain extension length (LextD) at a fixed source extension length (LextS) of 20 nm of the AsymD-kkDE structure. As we increase LextD from 20 nm to 40 nm, a reduction in Ioff (~27.67%) and Ion (~30.81%) can be observed. Moreover, AsymD-kkDE shows a consistent Ion/Ioff compared to the Dual-k structure. Additionally, it was shown to have a higher Ion/Ioff improvement (~3.57%) in comparison with AsymD-kS. In addition, gm and gds decrease from 10.131 μS/μm to 1.475 μS/μm (~85.25%) and 0.719 μS/μm to 0.084 μS/μm (~87.02%), respectively.
The AsymD-kkDE structure has lower values of Cgg and Ro compared to other structures when LextD has a value of approximately 30 nm. An increase in LextD shifts the drain doping away from the gate edge towards the drain side, resulting in a reduction of Cgd. However, increasing Cgg is due to fewer SCEs in the AsymD-kkDE structure because of the increased LextD [27]. The combined effect of Cgs and Cgd translates into a decrease in Cgg by 38.57%, with an increase in LextD, as shown in Figure 7d.
The reduction in gds is more significant than for gm Therefore, Figure 7d shows a slight improvement in Av from 23.77 to 30.13 dB with an increase in LextD from 20 nm to 30 nm. This is followed by a slight reduction to 24.88 dB until the length of LextD reaches 40 nm. Moreover, the AsymD-kkDE structure shows an improvement in fT (~2.48%) and fmax with an increase in LextD from 20 nm to 30 nm. Subsequently, it goes slightly down as it approaches 40 nm. This shows that there is a range of values of LextD (~30 nm), which results in a better performance observed from simulating RF/analog devices.
To understand the contribution of asymmetric drain extension on the analog/RF performance of the AsymD-kkDE structure, we also studied the Dual-k and AsymD-kS structures with asymmetric drain extension. As seen in the figure, the conventional structure with asymmetric drain extension has a better performance in almost all analog/RF FOM, except a slight reduction in gm and gds in comparison to the conventional structure without drain extension. This occurs because of a significant reduction in inner fringe capacitance towards the drain side due to a shifting of the drain extension’s doping concentration away from the gate edge. It would not be appropriate to say that the improvement is produced by only an AsymD-kk spacer at the source or only by the asymmetric drain extension. However, observing the simulation results, the AsymD-kk structure shows more significant improvement in gm and gds, and the asymmetric drain extension structure shows more significant improvement in fT and a lower gain reduction. If we compare the AsymD-kk structure to other structures, it shows superior values of gm, gds, fT, and fmax, with a slightly reduced value of Av.

5. Conclusions

Asymmetric drain extension Dual-kk trigate underlap FinFET is an attractive option for designing circuitry for 14 nm low-power and high-frequency battery-operated portable devices given the improved RF/analog FOMs that they offer. It has been found that an asymmetric Dual-kk spacer strongly affects the gm and gds values of the device. Moreover, AsymD-kk structures show better performance in almost all analog/RF FOMs in comparison to the conventional structures for low-power operation with the exception of intrinsic gain, which was found to be lower. Therefore, further improvement in Av and fT of the AsymD-kk structure can be obtained by introducing asymmetricity in drain extension regions (the AsymD-kkDE structure). From the simulations, it has also been observed that the AsymD-kkDE structure shows an improvement in gm by ~9.09%, in gds by ~13.04%, in fT by 12.91%, in Av by 19.47%, and also a reduction in Cgg by 40.41% in comparison with the Dual-k structure at drain extension length LextD of 30 nm. Finally, from the reported results, it can be concluded that AsymD-kkDE FinFET is outperformed as compared to Dual-k FinFET for designing 14 nm low-power and high-frequency RF/analog circuits or robust SRAMs in FinFET technology, just selecting the optimal structural parameters. In future work, we will study the effect of various K values on RF/analog circuits and the improvement of delay performance.

Acknowledgments

This work was supported by a project of the National High Technology Research and Development Program (“863” Program, 14 nm technology generation silicon-based novel devices and key crafts research, 2015AA016501) of China) and the China Scholarship Council (CSC, 2017).

Author Contributions

Ke Han and Guohui Qiao participated in the design of this study, and they both performed the statistical analysis, data acquisition, data analusis and manuscript preparation. Ke Han carried out data analysis and manuscript preparation. Guohui Qiao collected important background information and drafted the manuscript. ZhongLiang Deng provide assistance for data acquisition and manuscript review. Yannan Zhang performed literature search and chart drawing. All authors have read and approved the content of the manuscript.

Conflicts of Interest

The authors declared that they have no conflicts of interest to this work. We declare that we do not have any commercial or associative interest that represents a conflict of interest in connection with the work submitted.

References

  1. Intel’s 14 nm Technology: Intel’s Custom Chips Highlight Company’s 14 nm Process. Available online: https://www.eetimes.com/document.asp?doc_id=1321621 (accessed on 15 September 2017).
  2. Kranti, A.; Armstrong, G.A. Source/drain extension region engineering in FinFETs for low-voltage analog applications. IEEE Electron Device Lett. 2007, 28, 139–141. [Google Scholar] [CrossRef]
  3. Nandi, A.; Chandel, R. Design and analysis of sub-DT sub-domino logic circuits for ultra-low power applications. J. Low Power Electron. 2010, 6, 513–520. [Google Scholar] [CrossRef]
  4. The International Technology Roadmap for Semiconductors. Available online: http://www.itrs2.net/ (accessed on 4 October 2017).
  5. Chi, M.H. FinFET technology: Overview and status at 14nm node and beyond. In Proceedings of the Semiconductor Technology International Conference (CSTIC), Shanghai, China, 13–14 March 2016; pp. 1–3. [Google Scholar]
  6. Gopal, M.; Vishvakarma, S.K. Effect of asymmetric doping on asymmetric underlap Dual-k spacer FinFET. In Proceedings of the 2015 Annual IEEE India Conference (INDICON), New Delhi, India, 17–20 December 2015; pp. 1–4. [Google Scholar]
  7. Trivedi, V.; Fossum, J.G.; Chowdhury, M.M. Nanoscale FinFETs with gate-source/drain underlap. IEEE Trans. Electron Devices 2005, 52, 56–62. [Google Scholar]
  8. Vega, R.A.; Liu, K.; Liu, T.J.K. Dopant-Segregated Schottky Source/Drain Double-Gate MOSFET design in the direct source-to-drain tunneling regime. IEEE Trans. Electron Devices 2009, 56, 2016–2026. [Google Scholar] [CrossRef]
  9. Sachid, A.B.; Chen, M.C.; Hu, C. FinFET with high-k spacers for improved drive current. IEEE Electron Device Lett. 2016, 37, 835–838. [Google Scholar]
  10. Manoj, C.R.; Rao, V.R. Impact of High-k Gate Dielectrics on the device and circuit performance of nanoscale FinFETs. IEEE Electron Device Lett. 2007, 28, 295–297. [Google Scholar] [CrossRef]
  11. Pal, P.K.; Kaushik, B.K.; Dasgupta, S. Investigation of symmetric Dual-k spacer trigate FinFETs from delay perspective. IEEE Trans. Electron Devices 2014, 61, 3579–3585. [Google Scholar] [CrossRef]
  12. Pal, P.K.; Kaushik, B.K.; Dasgupta, S. A detailed capacitive analysis of symmetric and asymmetric Dual-k FinFETs for improved circuit delay metrics. In Proceedings of the 2016 Conference on Emerging Devices and Smart Systems (ICEDSS), Namakkal, India, 4–5 March 2016; pp. 13–18. [Google Scholar]
  13. Vega, R.A.; Liu, T.J.K. Comparative study of FinFET versus quasi-planar HTI MOSFET for ultimate scalability. IEEE Trans. Electron Devices 2010, 57, 3250–3256. [Google Scholar] [CrossRef]
  14. Singh, J.; Bousquet, A.; Ciavatti, J.; Sundaram, K.; Wong, J.S.; Chew, K.W.; Bandyopadhyay, A.; Li, S.; Bellaouar, A.; Pandey, S.M.; et al. 14nm FinFET technology for analog and RF applications. In Proceedings of the 2017 IEEE Symposium on VLSI Technology, Kyoto, Japan, 5–8 June 2017; pp. T140–T141. [Google Scholar]
  15. Tinoco, J.C.; Rodriguez, S.S.; Martinez-Lopez, A.G.; Alvarado, J.; Raskin, J.P. Impact of extrinsic capacitances on FinFET RF performance. IEEE Trans. Microw. Theory Technol. 2013, 61, 833–840. [Google Scholar] [CrossRef]
  16. Subramanian, V.; Mercha, A.; Parvais, B.; Dehan, M.; Groeseneken, G.; Sansen, W.; Decoutere, S. Identifying the bottlenecks to the RF performance of FinFETs. In Proceedings of the 23rd International Conference on VLSI Design, Bangalore, India, 3–7 January 2010; pp. 111–116. [Google Scholar]
  17. Sun, X.; Moroz, V.; Damrongplasit, N.; Shin, C.; Liu, T.J.K. Variation study of the planar ground-plane bulk MOSFET, SOI FinFET, and trigate bulk MOSFET designs. IEEE Trans. Electron Devices 2011, 58, 3294–3299. [Google Scholar] [CrossRef]
  18. Sentaurus TCAD User’s Manual. Available online: http://www.synopsys.com/ (accessed on 25 May 2017).
  19. Pradhan, K.P.; Sahu, P.K.; Mohapatra, S.K. Analysis of symmetric high-k spacer (SHS) trigate wavy FinFET: A novel device. In Proceedings of the Annual IEEE India Conference (INDICON), New Delhi, India, 17–20 December 2015; pp. 1–3. [Google Scholar]
  20. Dixit, A.; Kottantharayil, A.; Collaert, N.; Goodwin, M.; Jurczak, M.; De Meyer, K. Analysis of the parasitic S/D resistance in multiple-gate FETs. IEEE Trans. Electron Devices 2005, 52, 1132–1140. [Google Scholar] [CrossRef]
  21. Wu, S.Y.; Lin, C.Y.; Chiang, M.C.; Liaw, J.J.; Cheng, J.Y.; Yang, S.H.; Liang, M.; Miyashita, T.; Tsai, C.H.; Hsu, B.C.; et al. A 16nm FinFET CMOS technology for mobile SoC and computing applications. In Proceedings of the 2013 IEEE International Electron Devices Meeting, Washington, DC, USA, 9–11 December 2013; pp. 9–11. [Google Scholar]
  22. Sachid, A.B.; Manoj, C.R.; Sharma, D.K.; Rao, V.R. Gate fringe-induced barrier lowering in underlap FinFET structures and its optimization. IEEE Electron Device Lett. 2008, 29, 128–130. [Google Scholar] [CrossRef]
  23. Mohapatra, S.K.; Pradhan, K.P.; Singh, D.; Sahu, P.K. The role of geometry parameters and fin aspect ratio of sub-20nm SOI-FinFET: An analysis towards analog and RF circuit design. IEEE Trans. Nanotechnol. 2015, 14, 546–554. [Google Scholar] [CrossRef]
  24. Lauerhaas, J.M.; Cleavelin, R.; Xiong, W.Z.; Mochizuki, K.; Sherman, K.; Lee, H.C.; Clappin, B.; Schulz, T.; Schruefer, K. Preparation, characterization, and damage-free processing of advanced multi-Gate FETs. Solid State Phenom. 2008, 134, 213–216. [Google Scholar] [CrossRef]
  25. Kranti, A.; Armstrong, G.A. Design and optimization of FinFETs for ultra-low-voltage analog applications. IEEE Trans. Electron Devices 2007, 54, 3308–3316. [Google Scholar] [CrossRef] [Green Version]
  26. Kranti, A.; Lim, T.C.; Armstrong, G.A. Source/drain extension region engineering in nanoscale double gate MOSFETs for low-voltage analog applications. In Proceedings of the 2006 IEEE International SOI Conferencee, Niagara Falls, NY, USA, 2–5 October 2006; pp. 141–142. [Google Scholar]
  27. Wu, W.; Chan, M. Analysis of geometry-dependent parasitics in multifin double-gate FinFETs. IEEE Trans. Electron Devices 2007, 54, 692–698. [Google Scholar] [CrossRef]
Figure 1. Schematic diagrams of AsymD-kk FinFE: (a) 3D view; (b) channel profile of FinFET source side; (c) cross section.
Figure 1. Schematic diagrams of AsymD-kk FinFE: (a) 3D view; (b) channel profile of FinFET source side; (c) cross section.
Micromachines 08 00330 g001
Figure 2. Small-signal equivalent circuit model.
Figure 2. Small-signal equivalent circuit model.
Micromachines 08 00330 g002
Figure 3. Variation of (a) Ioff and Ion (b) gm and gds, (c) Cgg and Ro, (d) Av and fT of FinFET with AR. Simulated with Wfin = 6 nm, Lext = 20 nm, Tox = 0.8 nm, Lhk = 12 nm, σL = 3 nm, and Vds = 0.9 V.
Figure 3. Variation of (a) Ioff and Ion (b) gm and gds, (c) Cgg and Ro, (d) Av and fT of FinFET with AR. Simulated with Wfin = 6 nm, Lext = 20 nm, Tox = 0.8 nm, Lhk = 12 nm, σL = 3 nm, and Vds = 0.9 V.
Micromachines 08 00330 g003
Figure 4. Variation of (a) Ioff and Ion, (b) gm and gds, (c) Cgg and Ro, (d) Av and fT of FinFET with AR. Simulated with Hfin = 20 nm, Lext = 20 nm, Tox = 0.8 nm, Lhk = 12 nm, σL = 3 nm, and Vds = 0.9 V.
Figure 4. Variation of (a) Ioff and Ion, (b) gm and gds, (c) Cgg and Ro, (d) Av and fT of FinFET with AR. Simulated with Hfin = 20 nm, Lext = 20 nm, Tox = 0.8 nm, Lhk = 12 nm, σL = 3 nm, and Vds = 0.9 V.
Micromachines 08 00330 g004
Figure 5. Variation of (a) Ioff and Ion (b) gm and gds, (c) Cgg and Ro, (d) Av and fT of FinFET with Lext Simulated with Hfin = 15 nm, Wfin = 6 nm, Tox = 0.8 nm, Lhk = 12 nm, σL = 3 nm, and Vds = 0.9 V.
Figure 5. Variation of (a) Ioff and Ion (b) gm and gds, (c) Cgg and Ro, (d) Av and fT of FinFET with Lext Simulated with Hfin = 15 nm, Wfin = 6 nm, Tox = 0.8 nm, Lhk = 12 nm, σL = 3 nm, and Vds = 0.9 V.
Micromachines 08 00330 g005
Figure 6. Variation of (a) Ioff and Ion, (b) gm and gds, (c) Cgg and Ro, (d) Av and fT of FinFET with Lhk. Simulated with Hfin = 15 nm, Wfin = 6 nm, Tox = 0.8 nm, Lext = 20 nm, σL = 3 nm, and Vds = 0.9 V.
Figure 6. Variation of (a) Ioff and Ion, (b) gm and gds, (c) Cgg and Ro, (d) Av and fT of FinFET with Lhk. Simulated with Hfin = 15 nm, Wfin = 6 nm, Tox = 0.8 nm, Lext = 20 nm, σL = 3 nm, and Vds = 0.9 V.
Micromachines 08 00330 g006
Figure 7. Variation of (a) Ioff and Ion, (b) gm and gds, (c) Cgg and Ro, (d) Av and fT of FinFET with LextD. Simulated with Hfin = 15 nm, Wfin = 6 nm, Tox = 0.8 nm, Lext = 20 nm, σL = 3 nm, Lhk = 12 nm, and Vds = 0.9 V.
Figure 7. Variation of (a) Ioff and Ion, (b) gm and gds, (c) Cgg and Ro, (d) Av and fT of FinFET with LextD. Simulated with Hfin = 15 nm, Wfin = 6 nm, Tox = 0.8 nm, Lext = 20 nm, σL = 3 nm, Lhk = 12 nm, and Vds = 0.9 V.
Micromachines 08 00330 g007
Table 1. Nominal device parameters.
Table 1. Nominal device parameters.
ParametersDescriptionTypical Value/nm
LgGate length14
HfinFin height20
WfinFin width6
TmaskHard mask thickness over fins0.8
TpolyGeometrical thickness of gate material over hard mask15
ToxThickness of gate oxide0.8
LextSource/Drain extension length20
LhkThe inner high-k spacer length12
Llkouter low-k spacer length8

Share and Cite

MDPI and ACS Style

Han, K.; Qiao, G.; Deng, Z.; Zhang, Y. Asymmetric Drain Extension Dual-kk Trigate Underlap FinFET Based on RF/Analog Circuit. Micromachines 2017, 8, 330. https://doi.org/10.3390/mi8110330

AMA Style

Han K, Qiao G, Deng Z, Zhang Y. Asymmetric Drain Extension Dual-kk Trigate Underlap FinFET Based on RF/Analog Circuit. Micromachines. 2017; 8(11):330. https://doi.org/10.3390/mi8110330

Chicago/Turabian Style

Han, Ke, Guohui Qiao, Zhongliang Deng, and Yannan Zhang. 2017. "Asymmetric Drain Extension Dual-kk Trigate Underlap FinFET Based on RF/Analog Circuit" Micromachines 8, no. 11: 330. https://doi.org/10.3390/mi8110330

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop