Next Article in Journal
Functional Aromatic Polyamides
Next Article in Special Issue
Inkjet Etching of Polymers and Its Applications in Organic Electronic Devices
Previous Article in Journal
Fabrication of Multi-Layered Lidocaine and Epinephrine-Eluting PLGA/Collagen Nanofibers: In Vitro and In Vivo Study
Previous Article in Special Issue
Microstructural and Mechanical Implications of Microscaled Assembly in Droplet-based Multi-Material Additive Manufacturing
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Plasma-Based Nanostructuring of Polymers: A Review

1
Division of Nano & Information Technology, KIST School, Korea University of Science and Technology, Seoul 02792, Korea
2
Life and Materials Science Research Division, Korea Institute of Science and Technology, Seoul 02792, Korea
*
Author to whom correspondence should be addressed.
Polymers 2017, 9(9), 417; https://doi.org/10.3390/polym9090417
Submission received: 9 August 2017 / Revised: 30 August 2017 / Accepted: 30 August 2017 / Published: 5 September 2017
(This article belongs to the Special Issue Three-Dimensional Structures: Fabrication and Application)

Abstract

:
There are various fabrication methods for synthesizing nanostructures, among which plasma-based technology is strongly competitive in terms of its flexibility and friendly uses, economy, and safety. This review systematically discusses plasma techniques and the detailed interactions of charged particles, radicals, and electrons with substrate materials of, in particular, polymers for their nanostructuring. Applications employing a plasma-based nanostructuring process are explored to show the advantages and benefits that plasma treatment brings to many topical and traditional issues, and are specifically related to wettability, healthcare, or energy researches. A short perspective is also presented on strategic plans for overcoming the limitations in dimension from surface to bulk, lifetime of surface functions, and selectivity for interactions.

Graphical Abstract

1. Introduction

Following Faraday’s first scientific report of gold colloid in 1857 [1], researches in nanomaterial and nanotechnology have shown an abundant increase since 1990 [2], while the associated patents have shown a corresponding increase since 2000 [3]. In recent years, nanoscale discoveries in fundamental, mechanical, optical, electronic, magnetic, biological phenomena and properties have been reported from the aspects of chemical bonding, functionalized nanoparticles and quantum dots, or 2D materials, thanks to nanoscience. Nanostructured materials have assumed an undeniable role in scientific development, and enjoy strong participation in various industrial fields, such as the environment, medicine, biomaterials, energy, and materials.
Nanostructures can be classified as 0D-nanodot, 1D-nanowire, 2D-nanofilm (ex. Graphene), and 3D-nanoball or nanocoil [4] based on the dimensional size of nanoscale ~1–100 nm (1 nm = 10−9 m). Among the various nanostructure fabricating methods, the plasma-based method, since the first use by Irving Langmuir to describe an ionized gas as a fourth state of matter in 1927 [5], has presented many advantages as a research and industrial technique. Beside the uses for cleaning and sterilization [6,7] plasma takes effect on the surface or near surface, without any change in the bulk material properties, and results in many nanostructure morphologies. Various types of surfaces on different materials could be used for plasma processing, including plain, rough, and porous surfaces, or even the inner surfaces of tubes. The use of plasma firstly focuses on electronics, integrated circuits, microfluidics, or lab-on-a chip areas by precise plasma patterning methods, such as soft lithography [8] and laser based technique [9]. Recently, it is used in many fields where more nanostructures have been fabricated in various materials. Plasma etching for polymer is prominent in pattern formation in nanoscale by controlling the surface-to-volume ratio, surface energy, aspect ratio in geometry, light absorbance, surface functionalization, or size effects.
Plasma uses an extra energy source to energize atoms or molecules by high voltage, but the treatment process could be implemented under ambient temperature, implying it is facile for heat-sensitive materials. The results after treatment are highly reproducible, and are characterized by greater consistency than after chemical or mechanical processes. Furthermore, it is low-cost in operation, easy to use, and is considered an environmentally friendly process.
Two approaches for the plasma-based fabrication of nanostructures can be classified in plasma processing: bottom-up and top-down, mainly in a dry environment. The top-down approach is by treating the surface to obtain downscale, such as plasma etching, implant, or deposition; while the bottom-up process is by gaseous agents to create upscale objects, such as 2D layer, pore, nanowire [10] by PECVD, for example. In practical ways, the hybrid systems of top-down and bottom-up methods are also used simultaneously in some works, namely etching as a top-down method, and deposition as a bottom-up method would commonly be used in a single plasma process. In the scope of this work, plasma deposition and plasma growth are not focused on as a method for polymer nanostructuring.
So far, there are several review articles regarding aspects of plasma, from techniques [11,12] to classification [13]. Some reviews focused on plasma in combination with recent popular topics [14], such as bioapplication [15], medicine [16], biomaterials [17] wound care [18] and decontamination [19]. However, the full potential of plasma has yet to be revealed. In particular, after plasma was first introduced during research employing electrical discharge tubes from 1960, plasma-based nanostructuring on polymeric surfaces has emerged as a newly developing area with abundant applications [20]. The purpose of this review is to provide more comprehensive details, and different approaches for the issues that need to be understood in plasma for polymer nanostructuring and the role of this process applied in various research fields and related industries. In the following, Section 2 reviews plasma itself for nanostructuring, including a brief introduction of several recent plasma etching machine/methods related to the different methods of plasma generation. Moreover, several plasma parameters/quantities related to gas precursors, ion density, electron density, and more on etching parameters and numerical analysis are carefully introduced; Section 3 presents discussions on substrate material-plasma interactions. Plasma etching techniques are analyzed for the various nanostructures morphologies on different substrate materials with appropriate etching gas, plasma source/techniques. Several selectivity etching methods are reviewed in terms of impurities, crystallinity and inhibitor agents in the formation of nanostructures; Section 4 includes the strong contribution of plasma processing in many outstanding works. We review the surface functionalization by plasma in terms of changing the surface ratio, surface energy, aspect ratio, and anti-reflection properties for several application fields in the subsections; Section 5 concludes the review, and presents a short perspective on strategic plans to overcome the limitations in dimension from surface to bulk, lifetime of surface functions, and selectivity for interactions.

2. Plasma Technology for Nanostructuring

In this section, plasma for nanostructuring on polymeric materials is discussed in terms of formation, particles, precursor gas, and other physical parameters. Investigations are presented into plasma itself, the quantified factors, and analysis from simulation.

2.1. Plasma Generation

The scope of this work refers to low temperature and low pressure plasma, due to the low thermal resistance of polymers, and to prevent contamination and facilitate gas ionization. Plasma is created when gas molecules are provided with extra energy to generate free electrons from their outlet orbitals, or by collisions between gas molecules, particles, or free electrons [21]. Therefore, namely free electrons, charged particles, ions, and neutral radicals exist in bulk plasma. The plasma is generated by electrical energy in several ways. For DC plasma, high voltage is used to provide potential energy for background gas, then to release valence electrons to form discharge inside the chamber. In microwave plasma, the energy is generated from activating the positive and negative parts inside gas molecule at high frequency (~2.45 GHz) to generate free electron and ionize the gas. In RF plasma, included capacitively induced/inductively induced plasma, where the frequency is around 1–100 MHz, plasma generation is based on both potential energy and high frequency. In many systems, electron heating is used to trigger discharge avalanches or magnetic fields (magnetron system) is used to concentrate the ion and electron in a specific area.

2.2. Ion Etching

There are many species in plasma environment, including electrons, neutral particles, excited particles, radicals, ions (mostly negative), photons, or X-rays. These particles play an important role in the polymer etching process. Electrons would be the largest number among others in the plasma bulk due to the high kinetic energy and high velocity, and are the main agent for plasma self-generation. They usually distribute in the center area of plasma. However, ions with high momentum mostly concentrate on the sheath layer with thickness of several to tens of mm, locating right next to the cathode. The electrical field in this area might be 100 times higher than the center of plasma. Therefore, they function as the main agent for the etching process.
The ways in which ions interact with surface in bulk plasma or in ion beam make significant changes to the modified surface. In glow discharge, ions are generated from the bulk plasma area, accelerated in a very short sheath near the cathode, and then bombard, and etch substrate when it is placed onto the cathode surface. Ions from an ion beam (IB) system are accelerated onto a target area, and the etching effect occurs on these areas only. In general, IB etching by ion bombardment of inert gas, such as Helium (He), Xenon (Xe), or Argon (Ar), is considered to be physical etching, because no chemical interaction is observed on the target surface after processing. The process is ion milling, which is used widely as a common etching process, such as the FIB system, which is popular in scientific instruments for analytical techniques or thin film characterization methods. An improvement from sputter in plasma etching is RIE, which is conducted with chemically active ions and radicals to increase the etching depth, or enhance the sputtering rate. The main steps in the RIE process are: (1) formation of plasma and the reactive particle; (2) arrival of the reactive particle at the surface to be etched; (3) adsorption and chemical reaction of the reactive particle at the surface; and (4) removal of the volatile product molecule from the reactor [22].

2.3. Gas Precursor Behavior

For dry etching, precursor gas selections are very important. The electronegativity of gases changes the number of free electrons in the chamber, because high electronegative gas easily traps free electrons, and downsizes the number of charged particles. For polymer nanostructuring by plasma, reactive ion etching from O, F, S, Cl, or Br is the common selection (Table 1). Gases with low electronegativity but reactivity, such as O2 or N2, create high density of electron and positive ions, while F, Cl, or Br containing gases are much more electronegative, hence decrease the density of free electron, but increase the number of negative ions. The electronegativities are lower in the order of F > Cl > Br. The gas selection might be related to the density of ions (mostly positive ions), because it is the main agent for the etching process. The lower numbers of electrons, as the agents to sustain the plasma, cause lower stability, and hence require higher power to maintain this environment. The ion speed and trajectory are important factors for the etching process, and relate to nanostructure shape and etch rate, which will be deeply analyzed in the next section. In general, ions are usually strongly accelerated in the sheath area, and the trajectory is perpendicular to the Cathode surface. Therefore, they will approach the surface from the top, and bombard the surface vertically, rather than from other directions.

2.4. Etching Parameters

The selections of gas, substrate, power, and bias voltage cause changes in the resultant etching process, such as the etch rate, selectivity, anisotropy/isotropy profile, and uniformity. These parameters are fundamental factors for controlling the etching result. The etch rate shows the speed at which the surface is removed, which is usually in units of length or thickness to time. The selectivity shows the different etch rates of plasma responding to different substrate materials. In general, an etching mask (photoresist mask, or e-beam resist mask) is resistant to ions, neutrals, and radicals from plasma. They are traditional choices for plasma patterning, by adopting patterning technology that is popularly used in nano/microelectronics. The anisotropy/isotropy profile is also an attractive term, because it impacts the etching depth and size of nano structures. Isotropic etching usually is not expected for nano size etching, because the nano structure (pillar, needle-like pillar) is easily destroyed and collapsed. Therefore the etch depth is limited. The uniformity is a parameter that measures the thickness at certain points before and after the etching process, meaning the bias etching thickness, and could be derived through the etch rate. Those parameters within the real interactions between plasma and substrate are discussed further in the following discussions.

2.5. Numerical Analysis

Numerical calculation and simulation in plasma technology have been used for estimation of the physical parameters and chemical reactivity of particles in plasma chamber. Basically, all plasma calculations use the database of particle collision cross-section set of the gas [23,24] in ideal condition to calculate the energy, density, mobility, velocity, diffusion, and ionization coefficients. The Boltzmann and Monte Carlo methods are frequently used, but other modern theories, like mechanical fluid or R-Matrix are also broadly selected. In some theoretical assumptions, the etching process and other plasma processing have the same point, as they start with the building unit of ion on the surface [25], including other metallic elements or impurities. The redistribution and cluster formation follow to trigger the nucleation and develop new dots. For etching purpose, these dots function as an etching mask, hence forming a long structure on the surface by the shade effect. A work of high-density low-pressure inductively coupled plasmas simulation has been implemented to investigate the sensitivity properties of plasma toward the mixing ratio [26], considering 65 species in the CF4/CHF3/H2/Cl2/O2/HBr reaction set that was produced in electron impact reactions and heavy particle reactions. The work shows that increasing the CF4 ratio leads to more F atoms for etching, but lower Cl atoms density, therefore to a drop in the etching rate under certain conditions. The increasing CF4 ratio leads to the decrease of free electron density when mixed with Cl2, but sometimes enhances the number of free electrons (HBr). If H2 gas is added to the mixture, more positive hydrogen ions are present, and they have very low sputter yield, leading to a lower sputtering process. The increase of O2 ratio will always lower the etch rate due to greater oxidation of the wafer surface and lower plasma density. When HBr is added, the diluting effects of Ar or He will be triggered if mixed with F- or Cl-containing gases. This leads to less chemical etching, but more sputtering.
Roughening in nanoscale on polymer surface is the process observed widely in many reports using plasma technologies. The process is explained by the compositional changes in surface of the polymer, and a stiff thin layer is created, and strained under ion bombardments. A 3D modelling of roughness formation during isotropic plasma etching of 2 phases-consisting nanocomposite materials (polymer and graphite nanoparticles) and of a smoothing of homogeneous material was reported [27]. The report shows that the average roughness of nanocomposite is increased, while that of homogeneous material is reduced. However, the average height is decreased, in both cases by time, because of isotropic etching. Another work has reported more detail of how ions interact with the polymer surface by MD [28]. The simulation has been applied for 100 eV Ar+ plasma on PS surface. The work determined that a heavily cross-linked, dehydrogenated damaged layer was created on PS surface under ion Ar bombardment at the initial period, with high sputter yield of Carbon and Hydro atoms. However, the drastically lower sputter yield is achieved in steady state, and the roughness appeared due to the strains and mismatch between surface and bulk of substrate under ion bombardments.
Another work [29] simulated the plasma formation using the particle-in-cell, in which the kinetics of so-called “superparticles” includes many real particles of electrons, Cu neutrals, and Cu+ ions, moving in self consistent fields, which are calculated on the grid with Maxwell’s equation. The particle collisions are handled by Monte Carlo collision routines, and the code was developed using the resolution of one spatial but three velocity components. The experiments of DC arcing setup in a UHV chamber using Cu anode and cathode were presented. The setting parameters and results were used for simulation, and to compare with the simulation results. The simulation snapshots of time development of cratering by arcs show the crater formation by huge energetic plasma ion flux and nonballistic (thermal) energy deposition. The craters have complex shape in both cases of simulation and experiment, due to energetic ions accelerated in the plasma sheath potential.

3. Surface Nanostructuring by Plasma

The main focus in this section is plasma-based nanostructuring on materials. These are the processes of using plasma to create nanostructures, sometimes called nanotexturing or nanopatterning on target surfaces, which are mainly involved in the etching process. The techniques of nanostructuring by plasma etching have been used as a very effective way to create various types of nanopatterns on various materials, such as semiconductors, metals, and carbon-based or polymeric materials.

3.1. Plasma Nanostructuring Techniques

By considering how the plasma particles interact with each other and with substrate, one can control and achieve the desired patterns. The plasma techniques for nanostructuring can be divided into two groups: (1) based on the cooperation of setting factors in chamber or the plasma system, such as plasma source, gas, frequency, power, bias voltage, and distance between electrodes or magnet, to produce various ways to control the properties of plasma. The densities of ion, radical, electron, with their energy, momentum, or velocity, including moving direction, impurity, and electronegativity, would make a significant difference to the result of plasma processing. With the information of the selectivity, tilt angle of the substrate holder, the percentage of precursor or reactive gas, etchant gas, electrode distance, power, impurities, the nanostructure or even desired properties can be obtained or controlled; (2) Otherwise, other simple techniques can be used prior to, or to combine with plasma processing, for example mask constructed combinations of electron beam, photolithography, nanoimprint, nano self-assembly polymer, and laser-based techniques. The techniques are effective in controlling the shape, size, and uniformity of nanostructure array. The details in Table 1 offer a brief perspective of the nanostructuring process on various polymer substrates by plasma techniques.

3.2. Nanopatterning Techniques by Plasma Etching

Plasma etching is the most common method in the microelectronic industry, in combination with etching masks, such as photoresist materials, soft lithography, and laser-based technique [45,47,48]. The etching process increases the roughness of the surface, induces the nanostructure, and therefore increases the surface-to-volume ratio and changes the surface energy. This process provides the surfaces with new functions to apply widely in wettability application, biomedical, and energy related fields for electronic, supercapacitors, or batteries. Unlike uniform etching on the target surfaces in the semiconductor process, recent studies have been conducted to fabricate nanostructures ranging from dots, winkles, pores, or straight pillars to curly hairs in their configuration on materials [49]. Figure 1 shows images of several morphologies of polymer substrate after one step plasma nanostructuring. In general, the nanostructures observed in reactive plasma processing gas by surface evolution are usually nano-hole, nano-pore, nanotunnel, nano-cone, nano-pillar, or hair.
A work [50] on PMMA by FIB using ion Ga has successfully fabricated typical nanostructure morphology, such as hole, cone, and pore, by changing the acceleration voltage and ion flux (Figure 1A). The formation of these structures was explained by the interaction of ion Ga+ with PMMA not only on the surface, but also under the surface, because of the penetration of Ga+ into the bulk of PMMA. The interaction causes simple gaseous molecular species, which is associated with the degradation of the ester pendent group of PMMA. The gas concentration exceeds their solubility in PMMA, and become bubbles, which release from the bulk to the surface of PMMA. In combination with the process of bombardment on the surface, the process results in nano-holes, nano-pores, or tunnels.
The nanoscale roughness of winkles on polymer in plasma processing is considered for many applications, due to the high surface ratio in the resultant surface, as shown in Figure 1B. The high surface ratio is very attractive in many works, especially in the energy field, such as supercapacitors, and microelectronics. The stiff skin [51] formed in the initial period of ion beam processing on PDMS surface is simultaneously compressed under ion bombardments to give rise to highly nonlinear winkle patterns. Aiming to induce the wrinkle and control the strain and morphological evolution during ion beam deposition, acetylene (C2H2) IB has been deposited on PDMS in 30 s–30 min to form a stiff thin film of amorphous carbon [52]. The wrinkle formation is explained by the induced strain, and the mismatching strain in amorphous carbon film. However, even when ion beam was used, the chemical interaction and collisions between ion particles would occur, leading to ion scattering, and inducing the bombardment and strain in different directions.
Hairy nanostructure is frequently obtained in plasma treatment with anisotropic etching and high selectivity (Figure 1C,D). Even though the above morphologies are created in perpendicular ion beam, one actually could control the ion trajectory for slanted nanostructures. Faraday cage placing onto the cathode to lift up the sheath area is one way to control the ion trajectory. Because the electrical field is always perpendicular to the top mesh of the cage, which means that the ion trajectory moves perpendicularly to the mesh, it is possible to change the angle of ion—the surface interaction—by controlling the slanted surface angle or mesh angle.

3.3. Surface Energy Changes by Plasma Processing

Under the physical and chemical interactions with gas plasma, the surface boundary will change the chemical structure and shape. With the changes in chemical structure, the intermolecular bond in interaction with other materials will be modified. Usually, the surface energy is based on polar or non-polar groups, which anchor on the surface boundary. The polar group will cause stronger surface energy and hydrophilicity. For example, polymer under oxygen plasma usually becomes hydrophilic, because there are several oxygen-containing polar groups created in the etching process. A plasma etching of PET in 60 min [53] has changed the surface hydrophobic to superhydrophilic with the formation of nanopillars, and many polar groups, like –OH, –COO–, and –COOH. However, the thermal annealing would be used to robustly reduce the surface energy, and recover the original group on the surface. The surface after annealing would become superhydrophobic with CA ~ 174°. However, halogen-containing plasma etching usually induces the halogen group, which is less wettable than the carbon hydrogen group (non-polar group) [54]. The surface energy modification will create new function to substrate, and can be applied in many works relating to wettability, biocompatibility, or adhesion. For example, the polar group formed on polyvinyl acrylate (PVA) in atmospheric plasma has increased the surface energy from 37.1 to 60 mJ·m−2 [55].
The nanostructuring process with the modification of surface energy is one of the functionalizations for materials. Besides that, the increase of surface area, aspect ratio, directional nanostructure, anti-reflection, and size effects of nanostructure also bring materials many functions that are analyzed in the next sections.

3.4. Selective Etching by Inhibitor, Impurities, and Crystallinity

The hairy nanostructure formation was explained by the co-sputtered metallic self-mask covering the polymer surface; for example, the metal particles deposited on the surface from the early stage of the etching process (Figure 2A) [32]. As more metal element is co-deposited along with oxygen plasma irradiation, discrete metal islands grow, and coalesce into larger metal islands, which later act as an etching mask on polymeric or semiconductor surfaces. Once the size and distribution of the etching masks are fixed, the size and density of the nanostructures are also determined. Then the simultaneous deposition of a third species creates regions on the surface that are not etched, while the regions with no third species are etched, causing etching anisotropy, and resulting in columnar nanostructures. Consequently, nanostructures with the high aspect ratio (the ratio of the height over the width) could be fabricated on carbon-based or silicon-based materials. Note that nanoscale metallic clusters decorate the top of the polymeric nanostructures, forming a hetero-nanostructure. Several factors have been considered for nanostructuring on polymeric surfaces, as shown in Figure 2B. It was found that no nanostructure appeared on the PET substrates in the case of stainless steel cathode covered by PS, which has only hydrocarbon molecules, when they have been etched by oxygen plasma as usual, due to the absence of supply of co-deposited metallic components.
Other experiments [56] confirm the results, and determine the relationship between metal concentration and nanostructure intensity. A PET substrate attached with a stainless steel strip on the side has been processed by oxygen plasma. The nanopatterns that have been observed on the PET substrate are dependent on the distance between their locations on the PET substrate, and the stainless steel location. The experiment shows that metal concentration is a critical factor in nanostructuring. The greater the metal concentration (near stainless steel) available, the higher the nanostructure intensity that appears. The direct proportion of metal concentration to the nanostructure intensity has proved the role of metal concentration in the nanopatterning process.
Since the selective etching mechanism needs a metal mask for blocking the chemical or physical etching reaction of oxygen plasma ion with polymeric materials, the ion induced yielding of metallic mask materials, as etching resistance materials, should be a very important parameter. Experiments on the etching resistance effect have been conducted for Ag, Cu, Pt and Si, which have different etching rates or yields under the same plasma environment of oxygen in 30 min. The final nanostructures differ in concentration intensity, height, diameter, and shape. Similar result nanostructures have been observed when the substrate materials laid on stainless steel cathode in oxygen plasma were changed. Substrate of different crystallinity, such as amorphous polymeric (PMMA and PET), good crystal—carbon fiber, and perfect crystal—diamond, have been used for etching in oxygen plasma [56]. It was clearly shown that nanopillars have been fabricated on polymeric amorphous substrate in a very short time (3 min), rather than nanodots on carbon fiber and diamond substrate, and they transited into pillar or hairy structures in 30–60 min. The substrates were chosen for the carbon material in different crystallinity, indicating the different bonding energy between molecule and atom in different crystal. The strong bond in well-defined crystal causes high selectivity of plasma particles, due to plasma preferentially interacting with weaker crystal, such as polymer or amorphous. The other point to explain the different etching rates on those substrates is based on the effective carbon content in materials. In a report on the effects of polycrystallinity in nanopatterning by ion-beam sputtering, Yoon et al. [57] found the dependence of the growth of ripples on grain structure (size) in highly anisotropic fashion. HOPG and NG were used to show the difference in polycrystallinity. The pattern formation was ripple shaped, but the mean uninterrupted ripple length of HOPG was smaller than that of NG, due to the smaller grain size of HOPG than NG. Other results have been reported to facilitate various nanopatterns by applying variable sputtered geometry: dual ion beam sputtering, and sequential ion beam sputtering. The nanopattern formation by IB sputtering is affected not only by these conditions, but also by impurities. Macko et al. [58] observed that metal impurities resulting from the sputtering process induce patterns. The nanodot/hole and ripples on Si (100) were fabricated with the intentional co-sputter deposition of stainless steel, while no patterns were formed without co-sputtered steel under the same sputtering condition.

4. Applications

A huge effort has been made to exploit the large applicability of this fourth matter in science and technology. The plasma sterilizing machine has been popularly used for healthcare by antimicrobial radicals introduced from air or oxygen plasma. Plasma cleaning of surfaces is usually employed in removing grease, oil, oxides, or silicone by vaporizing the contamination, and in surface gluing or printing before the main treatment. Ion bombardment can be used in the process for cleaning/cutting, or even nanostructuring on target surfaces, with tuning the power of radicals. After the removal of surface components, the surface is activated with high surface energy, and the surface chemical characteristics are changed for strong gluing and high hydrophilicity, or sterilization of the target surface. With plasma polymerization of these materials, a thin layer is coated on the surface, with new functions of hydrophobicity/hydrophilicity, protective barrier against wear or friction, or gas barrier. The process has been applied to fine mechanical transmissions, medical devices, headlights/reflectors, textiles, and water/oil separator in biochip manufacturing, and sensor manufacturing. In this review, various applications are explored with plasma treatment for nanostructuring, in term of the functionalization of surface energy, roughness, aspect ratio, surface ratio, size effects, and light absorption in three subsections, as follows.

4.1. Wettability

Nature is the mother of ideas when people use it to serve their daily lives. Mimicry of nature has revealed many interesting functions for nanostructured materials [59]. Some of natural insects or plants can manipulate the micro/nano structure and surface energy on the body surfaces to obtain an extremely amazing efficiency in water collecting or self-cleaning. Examples include the self-cleaning and hydrophobicity of the lotus leaf [60], the dewing ability of Namib’s desert beetle for water harvesting in the African desert [61,62], and the adhesion between a lizard’s feet and a wall [63]. Mimicking nature, people have created many things useful for living, such as hydrophobic fabric [64], anti-fogging glasses, anti-icing glass used in cars [65], and dehumidifiers [66]. Some typical solutions for recent problems suggested from wettability have been proposed, included oil-water separation or self-cleaning, anti-fouling, anti-fogging and anti-icing surfaces [67].
To date, a vast number of researches on the wettability of plasma treated surfaces have been discussed and reported [68,69,70,71], in efforts to control the surface energy and nanoroughness. The substrates used for mimicry have been metal, semiconductor, or polymer, but the majority of reports belong to soft substrate. The trends have focused on multi-scale interfacial and surface energy cooperation, showing some popular environmental topics, such as oil leakage/spill, and various interesting approaches to domestic products, such as textile (hydrophobic coats), anti-fogging, anti-icing glass/porcelain, and frost-resistor. Recent trends are the arrangement and orientation of micro- and nano-structures that may control wetting states and liquid motion tendencies.

4.1.1. Nanostructured Surface with Special Wettability

Control of the surface energy of materials is crucial the water/oil separation, showing water and oil restriction or absorption of materials, respectively, and vice versa. As discussed in Section 3, the surface energy and surface roughness would co-operate to control the liquid droplet contact angle [72], such as the interaction between materials and the oil or water in air or water. They should have a low surface energy close to that of oil, or have a high surface energy close to that of water, but be in solid state, and be durable enough against strong waves and wind, and large amounts of oil in the ocean. This process could be conducted with some specific surface structures (rough or nanostructured surfaces), and cooperate with low surface energy material deposition. Both of these could be made effectively in plasma environment.
It is well known that when a water droplet is placed on a solid surface, the surface energies of solid/vapor ( γ S ), liquid/vapor ( γ L ), and liquid/solid ( γ I ) are in equilibrium state. Under stationary equilibrium, Young’s law has been applied for an equilibrium contacting angle (CA), θ e , on a flat surface, as, cos θ e = ( γ S γ I ) γ L . As the solid surface has roughness either in nanoscale or microscale, Young’s law can be modified by considering two proposals suggested by Wenzel and Cassie-Baxter. In a Wenzel state with the surface roughness factor, R, the equilibrium CA can be expressed as, cos θ a p p w = R cos θ e . In a Cassie-Baxter state with a solid fraction of ϕ (= partial wetting area divided by projected area), CA is defined as cos θ a p p C = ϕ ( cos θ e + 1 ) 1 . In both cases, the apparent CA considering its geometrical roughness strongly changes with the dependency of the surface roughness at nano-, micro-, or complex scales. With difference in surface morphology and surface energy, there are several approaches to oil and water separation: [73]
-
(Super)hydrophobic–(super)oleophilic materials
-
(Super)hydrophilic and underwater (super)oleophobic materials
-
(Super)hydrophilic- and in air (super)oleophobic materials
-
Smart materials with switchable wettability
-
Separation oil/water emulsion
(Super)hydrophobic–(super)oleophilic materials provide a surface that lets oil penetrate easily through, while repelling or restricting water penetration, thus separating oil and water mixture. In this case, the surface has a low surface energy by decoration with a chemical compound [74]. The steps in this approach consist of (1) surface morphology modification, aiming to create roughness or nanostructure; and (2) surface energy modification, aiming to deposit a thin film of low surface energy chemical, popular on a rough surface.
The (super)hydrophilic and underwater (super)oleophobic materials are applied to allow water to penetrate the surface, but block oil from penetrating. The mechanism of this case is based on the hydrophilic properties of substrate, and therefore creates a thin water film to protect substrate from oil. Notice that oleophobicity will not occur in air when water film on the surface is not available. The third type of (super)hydrophilic and in air (super)oleophobic materials have a very different mechanism for oil/water separation. Some surfaces can simultaneously show hydrophilic and oleophobic properties based on a favorable interaction with polar liquid, and tend to repulse non-polar liquid [75]. These are usually made by flour surfactant exposed on the top of the surface. Smart material with switchable wettability is an interesting field, where the wettability of material could be changed in a large range from hydrophilicity to hydrophobicity, and oleophobicity to oleophilicity, respectively. The last one is water/oil emulsion, which is still a big challenge, because most water/oil separators cannot operate at too small a size of oil drops of around tens of micrometer. However, the fact is that when spilt, most crude oil blends will quickly emulsify with water, creating a stable mousse that presents a more persistent cleanup and removal challenge.
The potential substrate falls into various types, from stiff to soft or porous materials, such as metallic, fabric, polymer, or foam-based substrates. The metallic substrate has the advantage of good mechanical properties, and could be durable in hazardous environments. However, the interaction with plasma of metallic substrate would be weaker than that of soft substrate. Other types of fabric or polymer would be more suitable and effective objects for nanostructured or rough surfaces, after surface energy modification. Cai et al. [76] were inspired by the unique oleophobicity under water of the skin of the Filefish Navodon septentrionali. They mimicked the oriented hook-like microstructure on fish skin on a PDMS layer, and used O2 plasma treatment to give the PDMS hydrophilic but anisotropic underwater oleophobicity (Figure 3A). The hydrophilic PDMS explains the underwater oleophobicity, but the pinning of oil in the direction from tail to head was explained by the hook-like microstructure. The principle is demonstrated on commercial cloth. This work could guide the mass manufacture of inexpensive high surface-energy materials for oil transportation, oil collection, and oil repellant coating on ships or oil pipelines.

4.1.2. Self-Cleaning, Anti-Fouling, Anti-Fogging and Anti-Icing Surface

Amphiphobicity, such as the superhydrophobic and superolephobic properties of the surface, is becoming more popular in many applications, because the repellence of water or low surface energy liquid from rough substrate surface keeps the surface dry, which maintains a clean surface, and expands the lifetime of machines and materials. The low surface energy leads to low contact area between liquid and material, and therefore makes the materials self-cleaning, anti-fouling, or even anti-icing, but not anti-fogging, which needs high surface energy. This prevents severe detriment caused by the fouling of oil or water. The properties are originated from nature for the same purposes. The water/oil repellence ability of lotus leaves suggests special raincoats or fabrics that are always clean, or easy to clean from foul water or oil. Fish scales suggest the oleophobic but superhydrophilic abilities for anti-bio fouling surfaces. Many oleophobic surfaces in water or without water have been fabricated by plasma [77] Some have good durability [78], or scratch-resistance [79]. A self-cleaning or anti-fouling process is also useful in drinking-water reservoirs and distribution systems, and other machinery devices (membrane and surface) relate to preventing contamination, and destruction from biofouling agents. Oh et al. [80] have reported a high-aspect ratio nanostructured silk fabric with dual contract wettability using O2 plasma (Figure 3B). Superhydrophobicity was obtained on the plasma-treated surface, while the opposite side, or body-contacting side, retained pristine superhydrophilicity in the silk fabric. The dual wettability of silk fabric would be very useful and comfortable for people if available in the market. The results show that up to a point, the silk fabric after treatment could endure a heavier weight, but smaller extension.
Mundo et al. [81] also used a capacitively coupling plasma reactor to fabricate high rough nanotextured PS surfaces ranging from the good adhesive to the good slippery. The work could be applied with other properties of PS, such as transparency, or elasticity as plastic for exterior uses. Another useful application [82] is self-cleaning lyocell fabric, with good moisture absorbency. This can be used as shirt fabric that is convenient in hot weather and on rainy days, with the possibility of self-cleaning and antifouling. The polymer substrates also use plasma nanotexturing to control the superamphiphobic to amphiphilic properties with ordered hierarchical roughness [83]. In addition, anti-icing properties could be achieved by adopting superhydrophobicity to obtain non-condensing surfaces [84]. This is meaningful in transportation, especially for airplanes in low temperature. In cold air, the highly rough surface makes obstacles for ice crystallization, restricting the condensation of snow or frost on the top of nanostructure, because of the very low contact area. Therefore, the drops of ice or frost are unable to stick on the surface over time when their size increases gradually, and gravity force removes them away, leading to anti-icing or ice removal. Mobarakeh et al. [85] have deposited a superhydrophobic thin film on aluminium oxide substrate using RF-PECVD with HMDSO as precursor gas. The thin film shows an ice adhesion strength of hydrophobic coating that is 3.5 times lower than that on pristine Al substrate. After 15 times of icing/de-icing cycles, the thin film kept its icephobicity, and the ice adhesion strength was even 1.4 times lower than that of pristine Al.
In contrast to hydrophobic application, anti-fogging was obtained from a (super)hydrophilic surface, where the surface energy and the nanostructure/roughness were well developed. Yu et al. [86] have treated soda-lime glass by using a sacrificial SiO2 layer on glass substrate before CF4 plasma treatment to form nanostructure on glass substrate. The surface just having a nanopillar-like structure becomes superhydrophilic for anti-fogging. The surface could shift to superhydrophobic by an HMDSO deposition with 10 nm thickness. The surface with both superhydrophobic and superhydrophilic properties has been used in dewing for dehumidifiers. The same technique is also found on the Namib’s desert beetle, when people tried to discover how this insect could survive in the desert. Anna et al. [87] used a patterned sample using (super)hydrophobic/hydrophilic properties to investigate the process of harvesting water from humid air via dewing, showing the contrast to a fog basking method, where the most efficient surface consists of hydrophilic islands surround by hydrophobic background, rather than hydrophilic surfaces.
Yu et al. [88] have reported a Si surface with ultra-low reflective nanoscale hierarchical structure fabricated nanograss-like structures, by adopting CF4 plasma etching, and the hydrolysis effect of Al coating. This surface not only has the potential to capture photons to increase the efficiency of solar energy devices, but also the roughness to improve self-cleaning properties. Mundo et al. [89] also reported a method to fabricate a long-lasting anti-fog PC surface by plasma treatment. The PC substrate has been etched by O2 gas plasma to ignite nanotextured etching on the PC surface, and deposition by a low surface energy layer of HMDSO/O2/Ar mixture. The gas flow rates of HMDSO and Ar have been kept the same, while the gas flow rate of O2 has been controlled to change the wettability of surface. At high gas flow rate and high plasma power, the surface becomes superhydrophilic, therefore tailoring a permanent anti-fog modification on a commercial transparent PC. However, the durability of superhydrophilicity is particularly rare in related literature. Once plasma treatment achieves high yield, commercialization would be variable for transparent materials with special wettability, because of the wide demand and various applications. For example, dual superhydrophobic and anti-fogging surfaces also offer benefit to short-sighted people who have to wear glasses every day, or to people using goggles for special activities, such as winter sport, military action, or underwater activities.

4.2. Bioapplications

Recent trends in the bio-application are more related to new and modern technologies applied for healthcare, such as biomedical, bio-sensing for small biomolecule (DNA, protein, glucose, etc.), tissue engineering, artificial implants (3D imprinting), and cell culture. Biomedical uses have been applied for a long time to cure or remedy diseases. This usually goes with some prerequisites, such as biocompatibility, meaning a non-viable material is used to interact with biological systems, and result in the clinical success of medical devices. The biomaterials have been made of hard or soft materials, such as metal or polymer, depending on the organism that they support, such as bone, skin or soft organ; but they need to meet the standard of physical or mechanical properties appropriate to the intended surface or bulk functions, namely wettability, permeability, biodegradability, strength, flexibility, and fatigue resistance.
Most techniques using plasma in biology focus on etching (nanostructuring), deposition, sterilization, functionalization, and biofilm formation. Biomedical materials, for these purposes, are the combination of plasma etching and deposition in gaseous plasma ion implantation, metal ion implantation, dual metal and gas plasma ion implantation, and PIII&D [90]. Proper plasma treatment will bring material a suitable function and properties. Wettability is the most fundamental property among various intended functions that the surface is assumed to be charged for. By controlling the surface energy and nanostructuring morphology, many bioapplications have been implemented. Here we discuss some works on the hydrophobicity and nanostructure for minimalizing the platelet deposition for blood-compatibility or non-thrombogennicity; the wettability and aspect ratio of nanostructure could be used for isolation of cell to environment, or the hydrophobicity for biomolecule immobilization.
Blood compatibility is the first requisite issue for biomaterials [91] for minimal platelet deposition. Hasebe et al. [92] have fabricated a film for blood-contacting medical devices, using plasma of a C2H2 and C2F6 mixture gas for hydrophobicity and non-thrombogenicity of a nanoscale dual rough surface. The gas flow rate, plasma power, and coating time using this mixture will be optimized to obtain 50 nm thickness with a high hydrophobicity for F-DLC coating. Platelet adhesion and activation of this coating was checked with DLC coating (Figure 4A). The similarity in the adherent platelet and platelet-covered area per unit area in both F-DLC and DLC is the clue that shows the non-thrombogenicity of F-DLC based on the widespread clinical use of DLC coating for medical devices. The study opens the potential application of this material to clinical use, such as temporary blood-contacting devices. They also concluded the improvement of DLC and Titania coating layer, by using a block copolymer deposition with low surface roughness.
Plasma treatment used in tissue or cell culture engineering is another interest in bioapplication [93,94,95,96,97]. Intranuovo et al. [98] have investigated the behavior of osteoblast-like cell on a micro/nanopatterned coating that was deposited by RF plasma in a CVD system. The work was successful in creating micro/nanopattern on PET substrate by C3F6O and C2F4 gas plasma with different roughness. The results proved that topography is a decisive factor in mediating the cell-material interactions. The interesting aspects are the higher osteoblast-like cell adhesion, and spreading on the taller micro/nanorough coatings. On the other hand, it was observed that the cell usually prefers to adhere on smooth surface, rather than rough surface (Figure 4B) where they cannot move easily, and usually compact themselves, instead of stretching on the surface, resulting in the isolation of cell from environment [99].
Protein adsorption on surface has been considered in the relationship between nanoparticle size, nanoparticle density on surface in cooperation with plasma polyoxazoline polymerization [100]. Another method for direct covalent biomolecule (protein) immobilization has been investigated by Tsougeni et al. [101] on nanostructured PMMA substrate. A number of functional groups attached on a needle bed-like nanostructured surface were achieved by using O2 plasma treatment and an annealing step for long lasting hydrophobicity and stable chemical functionality. The substrates were checked with experiences of the immobilization of biomolecules RgGs and Immunoassays. This showed a chemical functional stable for a long period of 1 year in ambient storage, and would be used for the development of immunochemical assays for the sensitive detection of C-reactive protein and salmonella lipopolysacchatides.

4.3. Energy Applications

The energy field is one issue that has attracted a great deal of interest, with a long history of research. Human living depends predominantly on energy-based goods for electricity or oil. Even though large achievements have been obtained, there are several issues that should be addressed, such as environmental pollution, and the convenience and durability of energy sources. Furthermore, portable energy storages are a fast developing trend to cover the gap between indoor and outdoor energy. Lithium battery, solar panel, fuel cell, and photovoltaic research areas are becoming crowded. Capacitors and supercapacitors are also highly attractive trends, because of their quick and powerful recharge/discharge abilities in real time.
Plasma-based technology has provided facile methods for processing parts/components (electrodes, [102] and anodes [103,104]) of portable energy storage. The treatment brings new electrical, mechanical, and thermal properties, which are very effective in improving the performance of the materials. The increases in quality parameters of these devices using plasma obtain significant results, such as efficiency, energy density, lifetime, and reusability (rechargeability). Some discussions based on the control of nanostructure with the aspect ratio, the surface area, hydrophobicity, or even the selectivity of deposition are presented below.
Batteries are a fast expanding field in energy application, in particular secondary (rechargeable) batteries, because of the growing demand for the outdoor usage of portable devices. Among primary and secondary cells (or non-rechargeable and rechargeable batteries), a number of advantages of the portable battery could be listed to explain the attraction of these devices, such as long lifetime, lighter weight, safety, high energy density, and low self-discharge energy. In recent years, Lithium based batteries have been the main topic of many researches [105,106,107]. The demand for bendable, light weight, and cost-effective polymer-based electronics is increasing. Recently, extraordinary cycle stability for capacitors on polymer substrate has been reported [108], and it opens a wide pathway to batteries. Jung et al. [109] have reported the fabrication of a Lithium-ion battery with nano-hairy electrode with several layers on polymer substrate. Plasma CF4 gas has been used to etch PI substrate to form a nano-hairy layer to increase the surface area of the electrode. Thermal deposition of Cu on the flat bottom and along the hairs has been previously prepared to create current collector. After that, thermal deposition of Si was implemented to create an active layer for the integration scheme. The lithiation process is the last step for finalizing anode for the li-ion battery (Figure 5A); the process facilitates the kinetic lithiation process, and the strain accommodation process. The capacity of the nano-hairy Si anode has been significantly increased, along with the cycle life and rate capabilities, compared with a conventional Si thin film anode on pristine PI, by preventing mechanical failure (Figure 5B).
Fuel cells absolutely attract environmental protection energy resources, because of their high degree of clean, green energy, high efficiency and available fuel [110]. This energy offers potential in applications for home, transportation, or even rockets. There are many types of commercialized fuel cells, but the high prices are the big barrier of this energy from becoming widespread in the market. A report on plasma treated nanostructures on GDL [111] improved the good performance of a proton exchange membrane fuel cell. Hydrophobic nanolayer was deposited on GDL surfaces via RF-PECVD with HMDSO as a precursor with different thickness. The performance of the treated GDL is much better than that of pristine GDL, due to the enhanced hydrophobicity and the increase of surface ratio.
Solar cells and photovoltaics are definitely a promising area for sustainable and green energy in the present and near future. These devices have become the strategic energy in some countries’ policies. Both use light to make electrical energy based on the photovoltaic effect. The photovoltaic is a single unit of solar cells or solar panels. The system connects to energy storage devices or electrical systems to store energy, or provide energy to loads. They both have similar mechanisms in converting the energy of light directly into electricity, which could be summarized in three steps: (1) the absorption of light, generating electron-hole pairs/excitons; (2) the separation of charged/excited carriers to positive/negative types; and (3) the separation of those charged carriers to an external circuit. Plasma treatment is used for increasing the effectiveness of all the components in each step, such as anti-reflective [112], electrode [113], and bandgap [114] for photovoltaics. Researches in solar cells are blooming with many records in efficiency. A work [115,116] on tandem architecture between organic and inorganic single-junction solar cells has achieved an efficiency of 13%, which is significant progress from the individual single junction solar cells of 7.25% and 6.2% for DSSC and CIGS, respectively. The process used Arc-plasma deposition for Pt interlayer to minimize the damage to the layers of CIGS bottom cell, based on the rough surface of the Pt layer. Togonal et al. [117] have tried to reduce the cost of heterojunction with intrinsic thin layer solar cells, by using thinner silicon wafers in compensation with an efficient light trapping scheme by several plasma deposition layer. In their work, Si nano wire arrays were fabricated by metal assisted chemical etching, and depositing a first layer of intrinsic amorphous silicon carbide in a gas mixture of SiH4:CH4 in low plasma power, a second layer of Si:H was then deposited using pure SiH4, and the window layer (p) a-Si:H was deposited from a gas mixture of SiH4/trimethylboron in argon plasma at 200 °C. After optimizing the deposition conditions, the solar cells showed good performance, with average efficiency of 12.43% and 12.9%.

5. Conclusions and Perspectives

This review has described plasma-based technology and its various applications in many fields, with respect to practical plasma processing and nanostructuring techniques. A variety of reports show the versatile use of plasma in solving many challenges regarding surface modification, such as increased wettability, improved adhesion for films, biofilm inactivation, and reduced prices, while reducing the limits in size of wafers in microelectronics, sterilization for tools in hospital and health care facilities, preparation of pharmaceuticals, biological treatment of stem cells, cancer, medical implants, and tissue engineering. Recently, plasma has also widened its presence in analytical methods, such as ICP-MS. The ICP-MS has paved a way to solve some difficult measurements in detecting metal or several non-metals in low concentration with good speed, precision, and sensitivity [118]. However, plasma techniques applied to nanostructuring process still have some obstacles to overcome, in order to make breakthroughs in improving performances of functional surfaces.
First, the lifetime of the functional surface or layers made by plasma is not always meeting the expectations for long-term usage. The surfaces of a bulk material always undergo changes in chemical and physical conditions, causing erosion or fouling, and resulting in destruction of its surface function. Second, the selective interactions of particles in plasma environment depend on plasma types, inert gases or complex monomers, temperature, pressure, or substrate materials, to allow the required modifications that impart properties for specific applications [119].
Both obstacles basically could be completely solved with large experience in plasma processing, deep knowledge in interactions between substrate materials with plasma gases, and in plasma particles with each other, and the effects of physical setting parameters on the system.
In general, plasma-based nanostructuring is a critical process in many applications, and still offers a large potential for vast application in the future, where the requirements will become much more complex. The vision for plasma-functional polymers, as a high technology tool, is to satisfy social demands, to focus on the strategy of finding safer and sustainable energy, water, food, and materials, and to protect human health.

Acknowledgments

This work was supported by a KIST internal project, and a grant (MPSS-CG-2016-02) from the Disaster and Safety Management Institute, funded by the Ministry of Public Safety and Security of the Korean government.

Author Contributions

Lan Thi Phan and Myoung-Woon Moon conceived and designed the study. Lan Thi Phan and Sun Mi Yoon reviewed references. Lan Thi Phan and Myoung-Woon Moon wrote the manuscript.

Conflicts of Interest

The authors declare no conflict of interest.

Abbreviations

a-Si:HAmorphous Silicone and Hydrogen composition
CIGSCopper indium gallium selenide
DNADeoxyriboNucleic Acid
DLCDiamond-like carbon
DDimension
DCDirect current
DSSCDye-sensitized solar cell
F-DLCFluorinated DLC
FIBFocused ion beam
GDLGas diffusion layer
HTHead tail
HMDSOHexamethyldisiloxane
HOPGHighly oriented pyrolytic graphite
HSQ resistHydrogen silsesquioxane resist
ICPInductively coupled plasma
ICP-MSICP-mass spectrometry
IBIon beam
MDMolecular dynamic
NGNatural graphite
NR-7, SU-8Negative Resist
OSAOil sliding angle
PCPolycarbonate
PECVDPlasma enhance chemical vapor depostion
PIII&DPlasma immersion ion implantation and deposition
PESPolyethersulfone
PETPolyethylene terephthalate
PLLA/PLGAPoly- l-lactic acid/Poly(Lactide-co-Glycolide)
PMMAPoly(methyl methacrylate)
PS/PIPolystyrene/Polyimide
PS- b-PDMSPolystyrene- b-polydimethylsiloxane
PS- r-PDSSRandom copolymers of polystyrene and 4-pentamethyldisilylstyrene
PVAPolyvinil acrylate
PDMS/PTFEPolytetrafluoroethylene/Polydimethylsiloxane
RFRadio frequency
RgGsRabbit γ-Globulins
RIEReactive ion etching
TEMTransmission electron microscopy
UHVUltra high voltage
UV resistUltra violet resist
UHMWPEUltra-high-molecular-weight polyethylene

References

  1. Daniel, M.-C.; Astruc, D. Gold nanoparticles: Assembly, supramolecular chemistry, quantum-size-related properties, and applications toward biology, catalysis, and nanotechnology. Chem. Rev. 2004, 104, 293–346. [Google Scholar] [CrossRef] [PubMed]
  2. Eustis, S.; El-Sayed, M.A. Why gold nanoparticles are more precious than pretty gold: Noble metal surface plasmon resonance and its enhancement of the radiative and nonradiative properties of nanocrystals of different shapes. Chem. Soc. Rev. 2006, 35, 209–217. [Google Scholar] [CrossRef] [PubMed]
  3. Dang, Y.; Zhang, Y.; Fan, L.; Chen, H.; Roco, M.C. Trends in worldwide nanotechnology patent applications: 1991 to 2008. J. Nanopart. Res. 2010, 12, 687–706. [Google Scholar] [CrossRef] [PubMed]
  4. Pokropivny, V.; Skorokhod, V. Classification of nanostructures by dimensionality and concept of surface forms engineering in nanomaterial science. Mater. Sci. Eng. C 2007, 27, 990–993. [Google Scholar] [CrossRef]
  5. Fitzpatrick, R. Plasma Physics: An Introduction; CRC Press: Boca Raton, FL, USA, 2014. [Google Scholar]
  6. Lim, J.-P.; Uhm, H.S.; Li, S.-Z. Influence of oxygen in atmospheric-pressure argon plasma jet on sterilization of Bacillus atrophaeous spores. Phys. Plasmas 2007, 14, 093504. [Google Scholar] [CrossRef]
  7. Moisan, M.; Barbeau, J.; Moreau, S.; Pelletier, J.; Tabrizian, M.; Yahia, L.H. Low-temperature sterilization using gas plasmas: A review of the experiments and an analysis of the inactivation mechanisms. Int. J. Pharm. 2001, 226, 1–21. [Google Scholar] [CrossRef]
  8. Xia, Y.; Whitesides, G.M. Soft lithography. Annu. Rev. Mater. Sci. 1998, 28, 153–184. [Google Scholar] [CrossRef]
  9. Pfleging, W.; Kohler, R.; Südmeyer, I.; Rohde, M. Laser micro and nano processing of metals, ceramics, and polymers. In Laser-Assisted Fabrication of Materials; Springer: Berlin/Heidelberg, Germany, 2013; pp. 319–374. [Google Scholar]
  10. Dai, W.; Kim, S.J.; Seong, W.-K.; Kim, S.H.; Lee, K.-R.; Kim, H.-Y.; Moon, M.-W. Porous carbon nanoparticle networks with tunable absorbability. Sci. Rep. UK 2013, 3, 2524. [Google Scholar] [CrossRef] [PubMed]
  11. Poncin-Epaillard, F.; Legeay, G. Surface engineering of biomaterials with plasma techniques. J. Biomater. Sci. Polym. Ed. 2003, 14, 1005–1028. [Google Scholar] [CrossRef] [PubMed]
  12. Tatarova, E.; Bundaleska, N.; Sarrette, J.P.; Ferreira, C. Plasmas for environmental issues: From hydrogen production to 2D materials assembly. Plasma Sources Sci. Technol. 2014, 23, 063002. [Google Scholar] [CrossRef]
  13. Moreau, M.; Orange, N.; Feuilloley, M. Non-thermal plasma technologies: New tools for bio-decontamination. Biotechnol. Adv. 2008, 26, 610–617. [Google Scholar] [CrossRef] [PubMed]
  14. He, B.; Yang, Y.; Yuen, M.F.; Chen, X.; Lee, C.S.; Zhang, W. Vertical nanostructure arrays by plasma etching for applications in biology, energy, and electronics. Nano Today 2013, 8, 265–289. [Google Scholar] [CrossRef]
  15. Skorb, E.V.; Andreeva, D.V. Surface Nanoarchitecture for Bio-Applications: Self-Regulating Intelligent Interfaces. Adv. Funct. Mater. 2013, 23, 4483–4506. [Google Scholar] [CrossRef]
  16. Cheruthazhekatt, S.; Černák, M.; Slavíček, P.; Havel, J. Gas plasmas and plasma modified materials in medicine. J. Appl. Biomed. 2010, 8, 55–66. [Google Scholar] [CrossRef]
  17. Lu, T.; Qiao, Y.; Liu, X. Surface modification of biomaterials using plasma immersion ion implantation and deposition. Interface Focus 2012, 2, 325–336. [Google Scholar] [CrossRef] [PubMed]
  18. Lloyd, G.; Friedman, G.; Jafri, S.; Schultz, G.; Fridman, A.; Harding, K. Gas plasma: Medical uses and developments in wound care. Plasma Process. Polym. 2010, 7, 194–211. [Google Scholar] [CrossRef]
  19. Ehlbeck, J.; Schnabel, U.; Polak, M.; Winter, J.; Von Woedtke, T.; Brandenburg, R.; Von dem Hagen, T.; Weltmann, K. Low temperature atmospheric pressure plasma sources for microbial decontamination. J. Phys. D Appl. Phys. 2010, 44, 013002. [Google Scholar] [CrossRef]
  20. Kylián, O.; Choukourov, A.; Biederman, H. Nanostructured plasma polymers. Thin Solid Films 2013, 548, 1–17. [Google Scholar] [CrossRef]
  21. Lieberman, M.A.; Lichtenberg, A.J. Principles of Plasma Discharges and Materials Processing; John Wiley & Sons: Hoboken, NJ, USA, 2005; Volume 1, p. 730. [Google Scholar]
  22. Coburn, J.; Winters, H.F. Plasma etching-a discussion of mechanisms. Crit. Rev. Solid State Mater. Sci. 1981, 10, 119–141. [Google Scholar] [CrossRef]
  23. Thi Lan, P.; Jeon, B.-H. Determination of Electron Collision of Cross-Sections for the H2 Molecule for Plasma Discharge Simulation. J. Phys. Soc. Jpn. 2012, 81, 104501. [Google Scholar] [CrossRef]
  24. Bartschat, K.; Kushner, M.J. Electron collisions with atoms, ions, molecules, and surfaces: Fundamental science empowering advances in technology. Proc. Natl. Acad. Sci. USA 2016, 113, 7026–7034. [Google Scholar] [CrossRef] [PubMed]
  25. Ostrikov, K.; Cvelbar, U.; Murphy, A.B. Plasma nanoscience: Setting directions, tackling grand challenges. J. Phys. D 2011, 44, 174001. [Google Scholar] [CrossRef]
  26. Tinck, S.; Bogaerts, A. Computational study of the CF4/CHF3/H2/Cl2/O2/HBr gas phase plasma chemistry. J. Phys. D 2016, 49, 195203. [Google Scholar] [CrossRef]
  27. Radjenovic, B.; Radmilovic-Radjenovic, M. The Effects of Isotropic Etching on Roughening and Smoothing of Nanostructure. Electron. Mater. Lett. 2012, 8, 491–494. [Google Scholar] [CrossRef]
  28. Vegh, J.J.; Nest, D.; Graves, D.B.; Bruce, R.; Engelmann, S.; Kwon, T.; Phaneuf, R.J.; Oehrlein, G.S.; Long, B.K.; Willson, C.G. Near-surface modification of polystyrene by Ar(+): Molecular dynamics simulations and experimental validation. Appl. Phys. Lett. 2007, 91, 233113. [Google Scholar] [CrossRef]
  29. Timko, H.; Djurabekova, F.; Nordlund, K.; Costelle, L.; Matyash, K.; Schneider, R.; Toerklep, A.; Arnau-Izquierdo, G.; Descoeudres, A.; Calatroni, S.; et al. Mechanism of surface modification in the plasma-surface interaction in electrical arcs. Phys. Rev. B 2010, 81, 184109. [Google Scholar] [CrossRef]
  30. Du, K.; Wathuthanthri, I.; Liu, Y.; Kang, Y.T.; Choi, C.H. Fabrication of polymer nanowires via maskless O2 plasma etching. Nanotechnology 2014, 25, 165301. [Google Scholar] [CrossRef] [PubMed]
  31. Luo, H.; Liu, T.; Ma, J.; Wang, W.; Li, H.; Wang, P.; Bai, J.; Jing, G. Irregular shaping of polystyrene nanosphere array by plasma etching. Mater. Sci. Pol. 2013, 31, 331–337. [Google Scholar] [CrossRef]
  32. Ko, T.J.; Oh, K.H.; Moon, M.W. Plasma-Induced Hetero-Nanostructures on a Polymer with Selective Metal Co-Deposition. Adv. Mater. Interfaces 2015, 2, 1400431. [Google Scholar] [CrossRef]
  33. Ting, Y.H.; Liu, C.C.; Park, S.M.; Jiang, H.Q.; Nealey, P.F.; Wendt, A.E. Surface Roughening of Polystyrene and poly(methyl methacrylate) in Ar/O2 plasma etching. Polymers 2010, 2, 649–663. [Google Scholar] [CrossRef]
  34. Liu, C.H.; Niu, P.L.; Sung, C.K. Integrating anti-reflection and superhydrophobicity of moth-eye-like surface morphology on a large-area flexible substrate. J. Phys. D 2014, 47, 015401. [Google Scholar] [CrossRef]
  35. Rasmussen, K.H.; Keller, S.S.; Jensen, F.; Jorgensen, A.M.; Hansen, O. SU-8 etching in inductively coupled oxygen plasma. Microelectron. Eng. 2013, 112, 35–40. [Google Scholar] [CrossRef] [Green Version]
  36. Azarnouche, L.; Sirard, S.M.; Durand, W.J.; Blachut, G.; Gurer, E.; Hymes, D.J.; Ellison, C.J.; Willson, C.G.; Graves, D.B. Plasma and photon interactions with organosilicon polymers for directed self-assembly patterning applications. J. Vac. Sci. Technol. B 2016, 34, 061602. [Google Scholar] [CrossRef]
  37. Tropmann, A.; Tanguy, L.; Koltay, P.; Zengerle, R.; Riegger, L. Completely Superhydrophobic PDMS Surfaces for Microfluidics. Langmuir 2012, 28, 8292–8295. [Google Scholar] [CrossRef] [PubMed]
  38. Toma, M.; Loget, G.; Corn, R.M. Flexible teflon nanocone array surfaces with tunable superhydrophobicity for self-cleaning and aqueous droplet patterning. ACS Appl. Mater. Interfaces 2014, 6, 11110–11117. [Google Scholar] [CrossRef] [PubMed]
  39. Gogolides, E.; Tserepi, A.; Vourdas, N.; Vlachopoulou, M.E.; Tsougeni, K.; Kontziampasis, D. Polymer Nano-Texturing and Stochastic Nano-Patterning Using Plasma Processing. In Proceedings of the AIChE Annual Meeting, Philadelphia, PA, USA, 16–21 November 2008. [Google Scholar]
  40. Wei, X.; Zhao, B.L.; Li, X.M.; Wang, Z.W.; He, B.Q.; He, T.; Jiang, B. CF4 plasma surface modification of asymmetric hydrophilic polyethersulfone membranes for direct contact membrane distillation. J. Membr. Sci. 2012, 407, 164–175. [Google Scholar] [CrossRef]
  41. Mattioli, S.; Martino, S.; D‘Angelo, F.; Emiliani, C.; Kenny, J.M.; Armentano, I. Nanostructured Polystyrene Films Engineered by Plasma Processes: Surface Characterization and Stem Cell Interaction. J. Appl. Polym. Sci. 2014, 131, 40427. [Google Scholar] [CrossRef]
  42. Wan, Y.; Tu, C.; Yang, J.; Bei, J.; Wang, S. Influences of ammonia plasma treatment on modifying depth and degradation of poly (L-lactide) scaffolds. Biomaterials 2006, 27, 2699–2704. [Google Scholar] [CrossRef] [PubMed]
  43. Thakur, S.; Pal, D.; Neogi, S. Prevention of biofilm attachment by plasma treatment of polyethylene. Surf. Innov. 2016, 4, 33–38. [Google Scholar] [CrossRef]
  44. Lai, C.Q.; Cheng, H. Versatile fabrication and applications of dense, orderly arrays of polymeric nanostructures over large areas. J. Mater. Chem. B 2014, 2, 5982–5991. [Google Scholar] [CrossRef]
  45. Ros, O.; Pargon, E.; Fouchier, M.; Gouraud, P.; Barnola, S. Gate patterning strategies to reduce the gate shifting phenomenon for 14 nm fully depleted silicon-on-insulator technology. J. Vac. Sci. Technol. A 2017, 35, 021306. [Google Scholar] [CrossRef]
  46. Jiang, S.L.; Shi, T.L.; Gao, Y.; Long, H.; Xi, S.; Tang, Z.R. Fabrication of a 3D micro/nano dual-scale carbon array and its demonstration as the microelectrodes for supercapacitors. J. Micromech. Microeng. 2014, 24, 045001. [Google Scholar] [CrossRef]
  47. Sun, J.; Iwasaki, T.; Muruganathan, M.; Mizuta, H. Lateral plasma etching enhanced on/off ratio in graphene nanoribbon field-effect transistor. Appl. Phys. Lett. 2015, 106, 033509. [Google Scholar] [CrossRef]
  48. Yim, S.; Sim, D.M.; Park, W.I.; Choi, M.J.; Choi, J.; Jeon, J.; Kim, K.H.; Jung, Y.S. Surface-Shielding Nanostructures Derived from Self-Assembled Block Copolymers Enable Reliable Plasma Doping for Few-Layer Transition Metal Dichalcogenides. Adv. Funct. Mater. 2016, 26, 5631–5640. [Google Scholar] [CrossRef]
  49. Andersen, S.; Halvorsen, T.G.; Pedersen-Bjergaard, S.; Rasmussen, K.E.; Tanum, L.; Refsum, H. Stereospecific determination of citalopram and desmethylcitalopram by capillary electrophoresis and liquid-phase microextraction. J. Pharm. Biomed. Anal. 2003, 33, 263–273. [Google Scholar] [CrossRef]
  50. Her, E.K.; Chung, H.S.; Moon, M.W.; Oh, K.H. An angled nano-tunnel fabricated on poly(methyl methacrylate) by a focused ion beam. Nanotechnology 2009, 20, 285301. [Google Scholar] [CrossRef] [PubMed]
  51. Moon, M.W.; Lee, S.H.; Sun, J.Y.; Oh, K.H.; Vaziri, A.; Hutchinson, J.W. Wrinkled hard skins on polymers created by focused ion beam. Proc. Natl. Acad. Sci. USA 2007, 104, 1130–1133. [Google Scholar] [CrossRef] [PubMed]
  52. Ahmed, S.F.; Nagashima, S.; Lee, J.Y.; Lee, K.-R.; Kim, K.-S.; Moon, M.-W. Self-assembled folding of a biaxially compressed film on a compliant substrate. Carbon 2014, 76, 105–112. [Google Scholar] [CrossRef]
  53. Oh, J.H.; Ko, T.J.; Moon, M.W.; Park, C.H. Nanostructured fabric with robust superhydrophobicity induced by a thermal hydrophobic ageing process. RSC Adv. 2017, 7, 25597–25604. [Google Scholar] [CrossRef]
  54. Kota, A.K.; Kwon, G.; Tuteja, A. The design and applications of superomniphobic surfaces. NPG Asia Mater. 2014, 6, e109. [Google Scholar] [CrossRef]
  55. Jorda-Vilaplana, A.; Fombuena, V.; Garcia-Garcia, D.; Samper, M.D.; Sanchez-Nacher, L. Surface modification of polylactic acid (PLA) by air atmospheric plasma treatment. Eur. Polym. J. 2014, 58, 23–33. [Google Scholar] [CrossRef]
  56. Ko, T.-J.; Jo, W.; Lee, H.J.; Oh, K.H.; Moon, M.-W. Nanostructures formed on carbon-based materials with different levels of crystallinity using oxygen plasma treatment. Thin Solid Films 2015, 590, 324–329. [Google Scholar] [CrossRef]
  57. Yoon, S.M.; Kim, J.-S.; Yoon, D.; Cheong, H.; Kim, Y.; Lee, H. Effects of polycrystallinity in nano patterning by ion-beam sputtering. J. Appl. Phys. 2014, 116, 024307. [Google Scholar] [CrossRef]
  58. Macko, S.; Frost, F.; Ziberi, B.; Förster, D.F.; Michely, T. Is keV ion-induced pattern formation on Si (001) caused by metal impurities? Nanotechnology 2010, 21, 085301. [Google Scholar] [CrossRef] [PubMed]
  59. Liu, M.; Wang, S.; Jiang, L. Nature-inspired superwettability systems. Nat. Rev. Mater. 2017, 2, 201736. [Google Scholar] [CrossRef]
  60. Koch, K.; Bhushan, B.; Jung, Y.C.; Barthlott, W. Fabrication of artificial Lotus leaves and significance of hierarchical structure for superhydrophobicity and low adhesion. Soft Matter 2009, 5, 1386–1393. [Google Scholar] [CrossRef]
  61. Norgaard, T.; Dacke, M. Fog-basking behaviour and water collection efficiency in Namib Desert Darkling beetles. Front. Zool. 2010, 7, 23. [Google Scholar] [CrossRef] [PubMed]
  62. Ji, K.; Zhang, J.; Chen, J.; Meng, G.; Ding, Y.; Dai, Z. Centrifugation-Assisted Fog-Collecting Abilities of Metal-Foam Structures with Different Surface Wettabilities. ACS Appl. Mater. Interfaces 2016, 8, 10005–10013. [Google Scholar] [CrossRef] [PubMed]
  63. Sethi, S.; Ge, L.; Ci, L.; Ajayan, P.M.; Dhinojwala, A. Gecko-inspired carbon nanotube-based self-cleaning adhesives. Nano Lett. 2008, 8, 822–825. [Google Scholar] [CrossRef] [PubMed]
  64. Ni, S.; Yin, W.; Ferguson-McPherson, M.K.; Satija, S.K.; Morris, J.R.; Esker, A.R. Nanoscale Surface Patterns from 103 Single Molecule Helices of Biodegradable Poly (l-lactic acid). Langmuir 2006, 22, 5969–5973. [Google Scholar] [CrossRef] [PubMed]
  65. Howarter, J.A.; Youngblood, J.P. Self-Cleaning and Next Generation Anti-Fog Surfaces and Coatings. Macromol. Rapid Commun. 2008, 29, 455–466. [Google Scholar] [CrossRef]
  66. Roy, S.; Hussain, C.M.; Mitra, S. Poly (acrylamide-co-acrylic acid) hydrophilization of porous polypropylene membrane for dehumidification. Sep. Purif. Technol. 2013, 107, 54–60. [Google Scholar] [CrossRef]
  67. Wen, L.; Tian, Y.; Jiang, L. Bioinspired Super-Wettability from Fundamental Research to Practical Applications. Angew. Chem. Int. Ed. 2015, 54, 3387–3399. [Google Scholar] [CrossRef] [PubMed]
  68. Myung, S.-W.; Jung, S.-C.; Kim, B.-H. Immobilization and controlled release of drug using plasma polymerized thin film. Thin Solid Films 2015, 584, 13–17. [Google Scholar] [CrossRef]
  69. López-García, J.; Primc, G.; Junkar, I.; Lehocký, M.; Mozetič, M. On the Hydrophilicity and Water Resistance Effect of Styrene-Acrylonitrile Copolymer Treated by CF4 and O2 Plasmas. Plasma Process. Polym. 2015, 12, 1075–1084. [Google Scholar] [CrossRef]
  70. Skarmoutsou, A.; Charitidis, C.; Gnanappa, A.; Tserepi, A.; Gogolides, E. Nanomechanical and nanotribological properties of plasma nanotextured superhydrophilic and superhydrophobic polymeric surfaces. Nanotechnology 2012, 23, 505711. [Google Scholar] [CrossRef] [PubMed]
  71. Her, E.K.; Ko, T.-J.; Lee, K.-R.; Oh, K.H.; Moon, M.-W. Bioinspired steel surfaces with extreme wettability contrast. Nanoscale 2012, 4, 2900–2905. [Google Scholar] [CrossRef] [PubMed]
  72. Ramiasa, M.; Ralston, J.; Fetzer, R.; Sedev, R. The influence of topography on dynamic wetting. Adv. Colloid Interface Sci. 2014, 206, 275–293. [Google Scholar] [CrossRef] [PubMed]
  73. Wang, B.; Liang, W.; Guo, Z.; Liu, W. Biomimetic super-lyophobic and super-lyophilic materials applied for oil/water separation: A new strategy beyond nature. Chem. Soc. Rev. 2015, 44, 336–361. [Google Scholar] [CrossRef] [PubMed]
  74. Simaite, A.; Tondu, B.; Souères, P.; Bergaud, C. Hybrid PVDF/PVDF-graft-PEGMA membranes for improved interface strength and lifetime of PEDOT: PSS/PVDF/ionic liquid actuators. ACS Appl. Mater. Interfaces 2015, 7, 19966–19977. [Google Scholar] [CrossRef] [PubMed]
  75. Brown, P.S.; Bhushan, B. Bioinspired, roughness-induced, water and oil super-philic and super-phobic coatings prepared by adaptable layer-by-layer technique. Sci. Rep. UK 2015, 5, 14030. [Google Scholar] [CrossRef] [PubMed]
  76. Cai, Y.; Lin, L.; Xue, Z.; Liu, M.; Wang, S.; Jiang, L. Filefish-Inspired Surface Design for Anisotropic Underwater Oleophobicity. Adv. Funct. Mater. 2014, 24, 809–816. [Google Scholar] [CrossRef]
  77. Kumar, V.; Pulpytel, J.; Rauscher, H.; Mannelli, I.; Rossi, F.; Arefi-Khonsari, F. Fluorocarbon Coatings Via Plasma Enhanced Chemical Vapor Deposition of 1H, 1H, 2H, 2H-perfluorodecyl Acrylate-2, Morphology, Wettability and Antifouling Characterization. Plasma Process. Polym. 2010, 7, 926–938. [Google Scholar] [CrossRef]
  78. Gao, S.-H.; Gao, L.-H.; Zhou, K.-S. Super-hydrophobicity and oleophobicity of silicone rubber modified by CF 4 radio frequency plasma. Appl. Surf. Sci. 2011, 257, 4945–4950. [Google Scholar] [CrossRef]
  79. Choi, Y.S.; Lee, J.S.; Han, J.G. Scratch-resistant hydrophobic and oleophobic coatings prepared by simple PECVD method. J. Mater. Sci. 2014, 49, 4790–4795. [Google Scholar] [CrossRef]
  80. Oh, J.-H.; Ko, T.-J.; Moon, M.-W.; Park, C.H. Nanostructured superhydrophobic silk fabric fabricated using the ion beam method. RSC Adv. 2014, 4, 38966–38973. [Google Scholar] [CrossRef]
  81. Di Mundo, R.; Palumbo, F.; d‘Agostino, R. Nanotexturing of polystyrene surface in fluorocarbon plasmas: From sticky to slippery superhydrophobicity. Langmuir 2008, 24, 5044–5051. [Google Scholar] [CrossRef] [PubMed]
  82. Kwon, S.-O.; Ko, T.-J.; Yu, E.; Kim, J.; Moon, M.-W.; Park, C.H. Nanostructured self-cleaning lyocell fabrics with asymmetric wettability and moisture absorbency (part I). RSC Adv. 2014, 4, 45442–45448. [Google Scholar] [CrossRef]
  83. Gogolides, E.; Constantoudis, V.; Patsis, G.P.; Tserepi, A. A review of line edge roughness and surface nanotexture resulting from patterning processes. Microelectron. Eng. 2006, 83, 1067–1072. [Google Scholar] [CrossRef]
  84. Li, J.-H.; Liu, Q.; Wang, Y.-L.; Chen, R.-R.; Takahashi, K.; Li, R.-M.; Liu, L.-H.; Wang, J. Formation of a Corrosion-Resistant and Anti-Icing Superhydrophobic Surface on Magnesium Alloy via a Single-Step Method. J. Electrochem. Soc. 2016, 163, C213–C220. [Google Scholar] [CrossRef]
  85. Mobarakeh, L.F.; Jafari, R.; Farzaneh, M. The ice repellency of plasma polymerized hexamethyldisiloxane coating. Appl. Surf. Sci. 2013, 284, 459–463. [Google Scholar] [CrossRef]
  86. Yu, E.; Kim, S.-C.; Lee, H.J.; Oh, K.H.; Moon, M.-W. Extreme wettability of nanostructured glass fabricated by non-lithographic, anisotropic etching. Sci. Rep. UK 2015, 5, 9362. [Google Scholar] [CrossRef] [PubMed]
  87. Lee, A.; Moon, M.-W.; Lim, H.; Kim, W.-D.; Kim, H.-Y. Water harvest via dewing. Langmuir 2012, 28, 10183–10191. [Google Scholar] [CrossRef] [PubMed]
  88. Yu, E.; Lee, H.J.; Ko, T.-J.; Kim, S.J.; Lee, K.-R.; Oh, K.H.; Moon, M.-W. Hierarchical structures of AlOOH nanoflakes nested on Si nanopillars with anti-reflectance and superhydrophobicity. Nanoscale 2013, 5, 10014–10021. [Google Scholar] [CrossRef] [PubMed]
  89. Di Mundo, R.; d’Agostino, R.; Palumbo, F. Long-lasting antifog plasma modification of transparent plastics. ACS Appl. Mater. Interfaces 2014, 6, 17059–17066. [Google Scholar] [CrossRef] [PubMed]
  90. Zhao, Y.; Yeung, K.W.; Chu, P.K. Functionalization of biomedical materials using plasma and related technologies. Appl. Surf. Sci. 2014, 310, 11–18. [Google Scholar] [CrossRef]
  91. Nandakumar, D.; Bendavid, A.; Martin, P.J.; Harris, K.D.; Ruys, A.J.; Lord, M.S. Fabrication of Semiordered Nanopatterned Diamond-like Carbon and Titania Films for Blood Contacting Applications. ACS Appl. Mater. Interfaces 2016, 8, 6802–6810. [Google Scholar] [CrossRef] [PubMed]
  92. Hasebe, T.; Nagashima, S.; Kamijo, A.; Moon, M.-W.; Kashiwagi, Y.; Hotta, A.; Lee, K.-R.; Takahashi, K.; Yamagami, T.; Suzuki, T. Hydrophobicity and non-thrombogenicity of nanoscale dual rough surface coated with fluorine-incorporated diamond-like carbon films: Biomimetic surface for blood-contacting medical devices. Diam. Relat. Mater. 2013, 38, 14–18. [Google Scholar] [CrossRef]
  93. Kontziampasis, D.; Bourkoula, A.; Petrou, P.; Tserepi, A.; Kakabakos, S.; Gogolides, E. Cell array fabrication by plasma nanotexturing. SPIE Proc. 2013, 8765. [Google Scholar] [CrossRef]
  94. Malainou, A.; Petrou, P.; Kakabakos, S.; Gogolides, E.; Tserepi, A. Creating highly dense and uniform protein and DNA microarrays through photolithography and plasma modification of glass substrates. Biosens. Bioelectron. 2012, 34, 273–281. [Google Scholar] [CrossRef] [PubMed]
  95. Tsougeni, K.; Papadakis, G.; Gianneli, M.; Grammoustianou, A.; Constantoudis, V.; Dupuy, B.; Petrou, P.; Kakabakos, S.; Tserepi, A.; Gizeli, E. Plasma nanotextured polymeric lab-on-a-chip for highly efficient bacteria capture and lysis. Lab Chip 2016, 16, 120–131. [Google Scholar] [CrossRef] [PubMed]
  96. Jones, D.; Park, D.; Anghelina, M.; Pécot, T.; Machiraju, R.; Xue, R.; Lannutti, J.J.; Thomas, J.; Cole, S.L.; Moldovan, L. Actin grips: Circular actin-rich cytoskeletal structures that mediate the wrapping of polymeric microfibers by endothelial cells. Biomaterials 2015, 52, 395–406. [Google Scholar] [CrossRef] [PubMed]
  97. Macgregor-Ramiasa, M.; Vasilev, K. Plasma Polymer Deposition: A Versatile Tool for Stem Cell Research. Adv. Surf. Stem Cell Res. 2016, 199–232. [Google Scholar] [CrossRef]
  98. Intranuovo, F.; Favia, P.; Sardella, E.; Ingrosso, C.; Nardulli, M.; d’Agostino, R.; Gristina, R. Osteoblast-like cell behavior on plasma deposited micro/nanopatterned coatings. Biomacromolecules 2010, 12, 380–387. [Google Scholar] [CrossRef] [PubMed]
  99. Ko, T.-J.; Kim, E.; Nagashima, S.; Oh, K.H.; Lee, K.-R.; Kim, S.; Moon, M.-W. Adhesion behavior of mouse liver cancer cells on nanostructured superhydrophobic and superhydrophilic surfaces. Soft Matter 2013, 9, 8705–8711. [Google Scholar] [CrossRef]
  100. Garcia, L.E.G.; MacGregor-Ramiasa, M.; Visalakshan, R.M.; Vasilev, K. Protein Interactions with Nanoengineered Polyoxazoline Surfaces Generated via Plasma Deposition. Langmuir 2017, 33, 7322–7331. [Google Scholar] [CrossRef] [PubMed]
  101. Tsougeni, K.; Petrou, P.; Awsiuk, K.; Marzec, M.; Ioannidis, N.; Petrouleas, V.; Tserepi, A.; Kakabakos, S.; Gogolides, E. Direct covalent biomolecule immobilization on plasma-nanotextured chemically stable substrates. ACS Appl. Mater. Interfaces 2015, 7, 14670–14681. [Google Scholar] [CrossRef] [PubMed]
  102. Kim, B.J.; Cho, Y.; Jung, M.S.; Shin, H.A.; Moon, M.W.; Han, H.N.; Nam, K.T.; Joo, Y.C.; Choi, I.S. Fatigue-Free, Electrically Reliable Copper Electrode with Nanohole Array. Small 2012, 8, 3300–3306. [Google Scholar] [CrossRef] [PubMed]
  103. Lan, C.-K.; Chuang, S.-I.; Bao, Q.; Liao, Y.-T.; Duh, J.-G. One-step argon/nitrogen binary plasma jet irradiation of Li4Ti5O12 for stable high-rate lithium ion battery anodes. J. Power Sources 2015, 275, 660–667. [Google Scholar] [CrossRef]
  104. Rahman, M.M.; Sultana, I.; Chen, Z.; Srikanth, M.; Li, L.H.; Dai, X.J.; Chen, Y. Ex situ electrochemical sodiation/desodiation observation of Co3O4 anchored carbon nanotubes: A high performance sodium-ion battery anode produced by pulsed plasma in a liquid. Nanoscale 2015, 7, 13088–13095. [Google Scholar] [CrossRef] [PubMed]
  105. Lee, C.; Han, Y.-J.; Seo, Y.D.; Nakabayashi, K.; Miyawaki, J.; Santamaría, R.; Menéndez, R.; Yoon, S.-H.; Jang, J. C4F8 plasma treatment as an effective route for improving rate performance of natural/synthetic graphite anodes in lithium ion batteries. Carbon 2016, 103, 28–35. [Google Scholar] [CrossRef]
  106. Li, Z.; Jiang, Q.; Ma, Z.; Liu, Q.; Wu, Z.; Wang, S. Oxygen plasma modified separator for lithium sulfur battery. RSC Adv. 2015, 5, 79473–79478. [Google Scholar] [CrossRef]
  107. Li, X.; He, J.; Wu, D.; Zhang, M.; Meng, J.; Ni, P. Development of plasma-treated polypropylene nonwoven-based composites for high-performance lithium-ion battery separators. Electrochim. Acta 2015, 167, 396–403. [Google Scholar] [CrossRef]
  108. Le Thai, M.; Chandran, G.T.; Dutta, R.K.; Li, X.; Penner, R.M. 100k Cycles and Beyond: Extraordinary Cycle Stability for MnO2 Nanowires Imparted by a Gel Electrolyte. ACS Energy Lett. 2016, 1, 57–63. [Google Scholar] [CrossRef]
  109. Jung, M.S.; Seo, J.H.; Moon, M.W.; Choi, J.W.; Joo, Y.C.; Choi, I.S. A Bendable Li-Ion Battery with a Nano-Hairy Electrode: Direct Integration Scheme on the Polymer Substrate. Adv. Energy Mater. 2015, 5, 1400611. [Google Scholar] [CrossRef]
  110. Zhao, S.; Li, Y.; Yin, H.; Liu, Z.; Luan, E.; Zhao, F.; Tang, Z.; Liu, S. Three-dimensional graphene/Pt nanoparticle composites as freestanding anode for enhancing performance of microbial fuel cells. Sci. Adv. 2015, 1, e1500372. [Google Scholar] [CrossRef] [PubMed]
  111. Ko, T.-J.; Kim, S.H.; Hong, B.K.; Lee, K.-R.; Oh, K.H.; Moon, M.-W. High Performance Gas Diffusion Layer with Hydrophobic Nanolayer under a Supersaturated Operation Condition for Fuel Cells. ACS Appl. Mater. Interfaces 2015, 7, 5506–5513. [Google Scholar] [CrossRef] [PubMed]
  112. Di Mundo, R.; Ambrico, M.; Ambrico, P.F.; d‘Agostino, R.; Italiano, F.; Palumbo, F. Single-Step Plasma Process Producing Anti-Reflective and Photovoltaic Behavior on Crystalline Silicon. Plasma Process. Polym. 2011, 8, 239–245. [Google Scholar] [CrossRef]
  113. Kovalenko, A.; Ashcheulov, P.; Guerrero, A.; Heinrichová, P.; Fekete, L.; Vala, M.; Weiter, M.; Kratochvílová, I.; Garcia-Belmonte, G. Diamond-based electrodes for organic photovoltaic devices. Sol. Energy Mater. Sol. Cells 2015, 134, 73–79. [Google Scholar] [CrossRef]
  114. Russell, H.; Andriotis, A.; Menon, M.; Jasinski, J.; Martinez-Garcia, A.; Sunkara, M. Direct Band Gap Gallium Antimony Phosphide (GaSbxP1−x) Alloys. Sci. Rep. UK 2016, 6, 20822. [Google Scholar] [CrossRef] [PubMed]
  115. Moon, S.H.; Park, S.J.; Kim, S.H.; Lee, M.W.; Han, J.; Kim, J.Y.; Kim, H.; Hwang, Y.J.; Lee, D.-K.; Min, B.K. Monolithic DSSC/CIGS tandem solar cell fabricated by a solution process. Sci. Rep. UK 2015, 5, 8970. [Google Scholar] [CrossRef] [PubMed]
  116. Lin, J.-Y.; Wang, W.-Y.; Chou, S.-W. Flexible carbon nanotube/polypropylene composite plate decorated with poly (3,4-ethylenedioxythiophene) as efficient counter electrodes for dye-sensitized solar cells. J. Power Sources 2015, 282, 348–357. [Google Scholar] [CrossRef]
  117. Togonal, A.S.; Foldyna, M.; Chen, W.; Wang, J.X.; Neplokh, V.; Tchernycheva, M.; Nassar, J.; Cabarrocas, P.R. Core–shell heterojunction solar cells based on disordered silicon nanowire arrays. J. Phys. Chem. C 2016, 120, 2962–2972. [Google Scholar] [CrossRef]
  118. Cao, L.; Bu, W.; Zheng, J.; Pan, S.; Wang, Z.; Uchida, S. Plutonium determination in seawater by inductively coupled plasma mass spectrometry: A review. Talanta 2016, 151, 30–41. [Google Scholar] [CrossRef] [PubMed]
  119. Thiry, D.; Konstantinidis, S.; Cornil, J.; Snyders, R. Plasma diagnostics for the low-pressure plasma polymerization process: A critical review. Thin Solid Films 2016, 606, 19–44. [Google Scholar] [CrossRef]
Figure 1. SEM images for nanostructured polymers by plasma ions (A) tunnel-like pores on PMMA surface; (B) surfaces wrinkles on PLGA; and (C,D) nanohairs on Nafion and PET with different ion beam directions to the surface: perpendicular and tilted angle, respectively.
Figure 1. SEM images for nanostructured polymers by plasma ions (A) tunnel-like pores on PMMA surface; (B) surfaces wrinkles on PLGA; and (C,D) nanohairs on Nafion and PET with different ion beam directions to the surface: perpendicular and tilted angle, respectively.
Polymers 09 00417 g001
Figure 2. (A) A schematic for pattern formation during the preferential etching on the polymer under oxygen plasma treatment; (B) A schematic of the experiment material co-deposition on the PET covered on the cathode in 30 min with different sources, included PS designed to show induce, Ag, Cu. The scale bars represent 500 nm. Reprinted with permission from [32]. Copyright 2014 Wiley.
Figure 2. (A) A schematic for pattern formation during the preferential etching on the polymer under oxygen plasma treatment; (B) A schematic of the experiment material co-deposition on the PET covered on the cathode in 30 min with different sources, included PS designed to show induce, Ag, Cu. The scale bars represent 500 nm. Reprinted with permission from [32]. Copyright 2014 Wiley.
Polymers 09 00417 g002
Figure 3. (A) (a) Illustration of the fabrication process for artificial fish skin; (b) SEM image of artificial fish skin fabricated by toluene-diluted PDMS; (c) Chart of anisotropic oil sliding angle (OSA) on oxygen-plasma-treated PDMS fish skin. The OSA values are 22.5° ± 7.3° along the head tail (HT) direction and 38.7° ± 3.7° along the TH direction. Reprinted with permission from [76]. Copyright Wiley 2013; (B) Bouncing behavior of water droplets on the upper image: (a) pristine and (b) nanostructured surfaces: superhydrophobic silk fabric. Reprinted with permission from [80]. Copyright RSC 2014.
Figure 3. (A) (a) Illustration of the fabrication process for artificial fish skin; (b) SEM image of artificial fish skin fabricated by toluene-diluted PDMS; (c) Chart of anisotropic oil sliding angle (OSA) on oxygen-plasma-treated PDMS fish skin. The OSA values are 22.5° ± 7.3° along the head tail (HT) direction and 38.7° ± 3.7° along the TH direction. Reprinted with permission from [76]. Copyright Wiley 2013; (B) Bouncing behavior of water droplets on the upper image: (a) pristine and (b) nanostructured surfaces: superhydrophobic silk fabric. Reprinted with permission from [80]. Copyright RSC 2014.
Polymers 09 00417 g003
Figure 4. Plasma processing for bioapplications. (A) SEM image of adherent platelets on “F-DLC on dual.” (a) The outer shape of adherent platelet on dual rough surface and (b) detail of contact between platelet pseudopodia and micron-nano posts. Bar indicates (a) 1 μm and (b) 2 μm. Reprinted with permission from [92]. Copyright Elsevier 2013; (B) SEM images of (top) plasma-treated surfaces and (bottom) the adhesion behavior of mouse liver cancer cells on (a) a hydrophilic surface after 1 min of oxygen plasma etching; (b) a hydrophilic surface after 30 min of oxygen plasma etching; and (c) a hydrophobic surface after 30 min of oxygen plasma etching. The insets show the corresponding optical images of water droplets on each surface. Reprinted with permission from [99]. Copyright RSC 2013.
Figure 4. Plasma processing for bioapplications. (A) SEM image of adherent platelets on “F-DLC on dual.” (a) The outer shape of adherent platelet on dual rough surface and (b) detail of contact between platelet pseudopodia and micron-nano posts. Bar indicates (a) 1 μm and (b) 2 μm. Reprinted with permission from [92]. Copyright Elsevier 2013; (B) SEM images of (top) plasma-treated surfaces and (bottom) the adhesion behavior of mouse liver cancer cells on (a) a hydrophilic surface after 1 min of oxygen plasma etching; (b) a hydrophilic surface after 30 min of oxygen plasma etching; and (c) a hydrophobic surface after 30 min of oxygen plasma etching. The insets show the corresponding optical images of water droplets on each surface. Reprinted with permission from [99]. Copyright RSC 2013.
Polymers 09 00417 g004
Figure 5. Plasma in energy applications. (A) Direct integration of nano-hairy Si anode on a nanorod array polymer substrate: (a) pristine PI substrate before CF4 etching; (b) well-arrayed PI nano-hairy structures after CF4 PECVD etching on pristine PI; (c) Cu (200 nm) as the current collector deposited by thermal evaporation; and (d) Si deposited (300 nm) as the active material by thermal evaporation on the sample (c); (e) A cross section image of sample (c); and (f) a cross section image of sample (d), both prepared by FIB cutting after Pt coating; (B) Capacity on delithiation step of Si on pristine and nano-hairy PI tested using a half cell. Reprinted with permission from [109]. Copyright 2014 Wiley.
Figure 5. Plasma in energy applications. (A) Direct integration of nano-hairy Si anode on a nanorod array polymer substrate: (a) pristine PI substrate before CF4 etching; (b) well-arrayed PI nano-hairy structures after CF4 PECVD etching on pristine PI; (c) Cu (200 nm) as the current collector deposited by thermal evaporation; and (d) Si deposited (300 nm) as the active material by thermal evaporation on the sample (c); (e) A cross section image of sample (c); and (f) a cross section image of sample (d), both prepared by FIB cutting after Pt coating; (B) Capacity on delithiation step of Si on pristine and nano-hairy PI tested using a half cell. Reprinted with permission from [109]. Copyright 2014 Wiley.
Polymers 09 00417 g005
Table 1. Plasma nanostructuring on polymer substrate.
Table 1. Plasma nanostructuring on polymer substrate.
Applicable FieldSubstrate MaterialsPlasma Source/TechniquesGasNanostructuresRefs.
MorphologyNR-7, SU-8 and PMMARIEO2Vertical nanowire structures, single level (non-hierarchical array of nanowire structures)[30]
PS spherea plasma etchingAir, Ar/O2Surface roughness[31]
PETRF-PECVDO2pillar- or hair-like nanostructure[32]
PS, PMMARIEAr/O2Nanoroughening[33]
UV resistMolding and plasma ashingAr–O2moth-eye-like surface morphology[34]
Su-8ICP-RIEO2, SF6lower roughness and higher etch rate[35]
PS-r-PDSSRF-ICPO2, H2/N2, or H2Directed self-assembly nanopattern[36]
WettabilityPDMS/PTFEGlow dischargeCF4/O2Nanoparticles/Superhydrophobic[37]
Teflon filmGlow dischargeO2nanocone arrays[38]
PMMAHelicon Plasma reactorO2Nanoroughness[39]
PDMSHelicon Plasma reactorSF6nanotexturing[39]
PES(RF) glow discharge powerCF4Nanosized hollow porous structure[40]
Bio and medicalPSGlow discharge, RFO2micropatterned grooves and nanostructured roughness[41]
PLLAIC-RF-glow discharge plasmaNH3Super hydrophilic[42]
UHMWPEPlasma etching-N2Rough surface[43]
PSGlow discharge, RFCF4/O2Dense, orderly arrays nanostructures[44]
Energy and ElectronicphotoresistICP reactorCl2/O2; CF4/CH2F2; SO2/O224 nm wide gate patterns[45]
SU-8Plasma etchingO2Hemispherical pattern and nano-hairy structures[46]
HSQ resistPlasma etchingO228 nm HSQ mask with lower width of Graphene nanowire[47]
PS-b-PDMSRIECF4, O2, ArSurface masking nanostructures, and plasma induced doping[48]

Share and Cite

MDPI and ACS Style

Phan, L.T.; Yoon, S.M.; Moon, M.-W. Plasma-Based Nanostructuring of Polymers: A Review. Polymers 2017, 9, 417. https://doi.org/10.3390/polym9090417

AMA Style

Phan LT, Yoon SM, Moon M-W. Plasma-Based Nanostructuring of Polymers: A Review. Polymers. 2017; 9(9):417. https://doi.org/10.3390/polym9090417

Chicago/Turabian Style

Phan, Lan Thi, Sun Mi Yoon, and Myoung-Woon Moon. 2017. "Plasma-Based Nanostructuring of Polymers: A Review" Polymers 9, no. 9: 417. https://doi.org/10.3390/polym9090417

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop