Next Article in Journal / Special Issue
Mechanisms of Low-Energy Operation of XCT-SOI CMOS Devices—Prospect of Sub-20-nm Regime
Previous Article in Journal / Special Issue
Performance Limits of Nanoelectromechanical Switches (NEMS)-Based Adiabatic Logic Circuits
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Compact Modeling Solutions for Oxide-Based Resistive Switching Memories (OxRAM)

1
Institut Matériaux Microélectronique Nanosciences de Provence(IM2NP), Aix-Marseille Université, Centre national de la recherche scientifique (CNRS), UMR 7334, 13284 Marseille, France
2
Institut d'Électronique Fondamentale(IEF), University of Paris-Sud, Centre national de la recherche scientifique (CNRS), UMR 8622, F91405 Orsay, France
3
Commissariat l'Énergie Atomique - Laboratoire d'Électronique et de Technologie de lInformation (CEA-Léti), 38054 Grenoble, France
*
Author to whom correspondence should be addressed.
J. Low Power Electron. Appl. 2014, 4(1), 1-14; https://doi.org/10.3390/jlpea4010001
Submission received: 25 October 2013 / Revised: 6 December 2013 / Accepted: 9 December 2013 / Published: 9 January 2014
(This article belongs to the Special Issue Selected Papers from FTFC 2013 Conference)

Abstract

:
Emerging non-volatile memories based on resistive switching mechanisms attract intense R&D efforts from both academia and industry. Oxide-based Resistive Random Acces Memories (OxRAM) gather noteworthy performances, such as fast write/read speed, low power and high endurance outperforming therefore conventional Flash memories. To fully explore new design concepts such as distributed memory in logic, OxRAM compact models have to be developed and implemented into electrical simulators to assess performances at a circuit level. In this paper, we present compact models of the bipolar OxRAM memory based on physical phenomenons. This model was implemented in electrical simulators for single device up to circuit level.

1. Introduction

Memory devices based on resistive switching materials are currently pointed out as promising candidates to replace conventional non-volatile memory devices based on charge-storage beyond 2xnm-technological nodes [1,2,3]. Indeed, compared to conventional floating gate technologies, Resistive RAMs (so-called RRAM) gather fast write/read operations, low power consumption, CMOS voltage compatibility and high endurance. Moreover, the resistive memory element consists of a simple Metal/Insulator/Metal (MIM) stack. In this way, one of the major advantages of resistive switching memories is their capability, whatever the underlying physics is, to be integrated in the back-end-of-line enabling NVM solutions to be distributed over CMOS logic. Relying on different based on different physical mechanisms, various RRAM technologies are now categorized in the ITRS. The Redox Memory category, covered in this study, includes Conductive Bridge RAM (CBRAM) [4] and Oxide Resistive RAM (OxRAM) [5] both of which exhibit a bipolar behavior, (i.e., switching relying on voltage polarity) (cf Figure 1a). Conversely, RRAM technologies referred to as Thermo-Chemical Memories (TCM) [6], or fuse-antifuse memories, are mostly based on nickel oxide (NiO) and exhibit a unipolar behavior (i.e., switching relying on voltage amplitude) as show in Figure 1b.
Figure 1. Typical I–V characteristic of resistive memories: (a) Bipolar behavior; (b) Unipolar behavior.
Figure 1. Typical I–V characteristic of resistive memories: (a) Bipolar behavior; (b) Unipolar behavior.
Jlpea 04 00001 g001
For the OxRAM memory elements addressed in this paper, the MIM structure is generally composed of metallic electrodes sandwiching an active layer, usually an oxygen-deficient oxide. A large number of resistive switching oxides, like HfO 2 , Ta 2 O 5 , NiO, TiO 2 or Cu 2 O, are reported in the literature [7,8,9,10]. The Valency Change Mechanism (VCM) occurs in specific transition metal oxides and is triggered by a migration of anions, such as oxygen vacancies.
After an initial electroforming step, the memory element may be reversibly switched between a High Resistance State (HRS) and a Low Resistance State (LRS). The electroforming stage corresponds to a voltage-induced resistance switching from an initial very high resistance state (virgin state) to a conductive state. In the case of bipolar switching, bipolar voltage sweeps are required to switch the memory element (Figure 1a). Resistive switching in an OxRAM element corresponds to an abrupt change between a HRS ( R H R S ) and a LRS ( R L R S ). This resistance change is achieved by applying specific voltage to the structure (i.e., V S e t and V R e s e t ). Generally, the electroforming voltage is superior to these voltages. However, several groups have demonstrated forming-free structures by adjusting the stoichiometry of the active layer [11,12,13].
In this paper, compact models of the bipolar OxRAM memory is presented. This model was implemented in electrical simulators for single device up to circuit level.

2. Compact Model for OxRAM Cells

Even if OxRAM technology is still in its infancy, it is broadly accepted that the field-assisted motion of oxygen vacancies governs the bipolar resistance switching [14]. The proposed OxRAM modeling approach [15,16,17] relies on electric field-induced creation/destruction of Conductive Filament (CF) within the switching layer. The model is based on a single master equation in which both set and reset operations are accounted simultaneously and control the radius of the conduction pathway ( r C F ). Figure 2 depicts the proposed model for the switchable MIM structure.
Figure 2. Formed and dissolved conductive filament resulting from set and reset operations respectively in Metal/Insulator/Metal (MIM) structure.
Figure 2. Formed and dissolved conductive filament resulting from set and reset operations respectively in Metal/Insulator/Metal (MIM) structure.
Jlpea 04 00001 g002
The set (resp. reset) process can be described by an electrochemical kinetic equation relying on the Butler-Volmer equation [18]. In the LRS, where conduction is controlled by the CF, charge transport is assumed to be ohmic according to previous reports in the literature [19,20]. However, HRS is actually dominated by leakage current within the oxide layer. To take into account a lot of trap assisted current [Poole-Frenkel, Schottky emission, Space Charge Limited Current (SCLC)], a power low between the cell current and the applied bias has been considered. The model assumes an uniform CF radius and electric field in the cell where temperature elevation (triggered by Joule effect) may accelerate redox reaction rates. In this way the local temperature of the filament is given by [15]:
T = T a m b + V C e l l 2 8 · k t h · r C F 2 r C F m a x 2 · ( σ C F - σ O X ) + σ O X )
where T a m b is the ambient temperature; V C e l l is the voltage applied between the top and the bottom electrodes; k t h is the thermal conductivity and σ C F (resp. σ O X ) is the electrical conductivity of the conductive filament (resp. oxide).
The Set operation is modeled based on the Butler-Volmer equation through the electrochemical reduction rate ( τ R e d ):
1 τ R e d = A R e d O x · e - E a - q · α R e d · V C e l l k b · T
where k b is the Boltzmann constant.
Similarly, reset concerns the local dissolution of the CF and accounted by the oxidation rate ( τ O x ):
1 τ O x = A R e d O x · e - E a + q · α O x · V C e l l k b · T
where E a is the activation energy; α R e d and α O x are the transfer coefficient (ranging between 0 and 1); A R e d O x is the nominal redox rate. Hence, the growth/dissolution of the filament results from the inter-play between both redox reaction velocities through the following master equation:
d r C F d t = r C F m a x - r C F τ R e d - r C F τ O x
where the local CF radius ( r C F ) is comprised between zero and a maximal value ( r C F m a x ). To allow implementation into electrical simulation tools, a discrete writing is required. If the time step is sufficiently small, τ R e d et τ O x may be assumed as constant. The discrete form of Equation (4) is then given by Equation (5). Solving the differential Equation (4) step by step allows a better convergence of simulation tools.
r C F i + 1 = r C F i - τ e q τ R e d · e - Δ t τ e q + τ e q τ R e d
where τ e q = τ R e d · τ O x τ R e d + τ O x .
Finally, the total current in the OxRAM includes two components: one is related to the conductive species ( I C F ) [15] the other concerns conduction through the oxide ( I O X ):
I C F = V C e l l L x · r C F 2 · π · ( σ C F - σ O X ) + r C F m a x 2 · π · σ O X )
I O X = A H R S · S C e l l · V C e l l L x α H R S
where L x is the oxide thickness and S C e l l is the total area of the device. Finally, the total current flowing through the cell is:
I C e l l = I O X + I C F
These equations were then implemented within an Eldo compact model following the flowchart given in Figure 3. At each call of the OxRAM instance during a transient simulation, the previous state of the filament as well as the applied voltage are provided to the model in order to take into account the memory effect. The new filament state and the current are then computed as function of these inputs and the time step.
Figure 3. Program flowchart employed for numerical simulation of Oxide-based Resistive Random Acces Memories (OxRAM) memory devices.
Figure 3. Program flowchart employed for numerical simulation of Oxide-based Resistive Random Acces Memories (OxRAM) memory devices.
Jlpea 04 00001 g003

3. Model Validation

The compact model was calibrated on recent electrical data measured on HfO 2 -based OxRAM devices [21]. To validate the proposed theoritical approach, the model was confronted to quasi-static and dynamic experimental data extracted from the literature. Figure 4a shows quasi-static set and reset I ( V ) characteristics measured on HfO 2 -based memory elements. In this study, the memory elements consisted in a Ti/HfO 2 /TiN stack with a hafnium oxide thickness of 10 n m . The description of the cell manufacture is presented in [21]. Using the set parameters given in Table 1, the present model shows an excellent agreement with experimental data for both set and reset operations.
Table 1. Physical parameters used for Bipolar simulations.
Table 1. Physical parameters used for Bipolar simulations.
ParametersValuesParametersValues
r C F m a x 20 n m L x 10 n m
S c e l l 1 μ m × 1 μ m A R e d O x 1 × 10 9 s - 1
A R e d O x 1 × 10 9 s - 1 E a 0 . 95 e V
α R e d 0 . 85 A H R S 5 × 10 - 9 A / ( V 2 )
α H R S 2 α O x 0 . 85
σ O x 0 . 1 m · S σ C F 0 12 . 5 × 10 5 m · S
T a m b 300 K K t h 0 . 8 W / ( K · m )
Figure 4. (a) Experimental I(V) (■); and (b) set; and (c) reset voltage as a function of the programming ramp speed measured on a H f O 2 -based memory structures presented in [21] and corresponding simulation results (−).
Figure 4. (a) Experimental I(V) (■); and (b) set; and (c) reset voltage as a function of the programming ramp speed measured on a H f O 2 -based memory structures presented in [21] and corresponding simulation results (−).
Jlpea 04 00001 g004
Figure 4b shows that the proposed model also satisfactorily catches the impact of the experimental increase of V S e t and V R e s e t with the programming ramp speed. Moreover, the effect of the set current limitation on the reset current is also taken into account by this compact model (Figure 5). It is interesting to note that this behavior appears for unipolar and bipolar memory [11]. However, in our study, only the bipolar structures will be studied.
Figure 5. Maximum current during the reset operation ( I R e s e t ) as a function of the maximum current during the preceding set operation ( I C o m p S e t ). Experimental data were extracted from Reference [5,8,10,22,23,24].
Figure 5. Maximum current during the reset operation ( I R e s e t ) as a function of the maximum current during the preceding set operation ( I C o m p S e t ). Experimental data were extracted from Reference [5,8,10,22,23,24].
Jlpea 04 00001 g005
Figure 6 illustrates the transient current response of the cell when a voltage ramp is applied to the cell (Figure 4b). A significant cell voltage discontinuity is observed during the set operation. This behavior highlights the self acceleration of set mechanism. Indeed, when the applied voltage is below the set voltage, the resistance continuously decreases. Let us mention that our model, which already includes a thermal activation of set operation, should be able to take into account this effect once the parasitic capacitances originating from the measurement setup are provided.
Figure 6. Dynamic measurement of OxRAM (HfO 2 -based memory) and corresponding simulation results.
Figure 6. Dynamic measurement of OxRAM (HfO 2 -based memory) and corresponding simulation results.
Jlpea 04 00001 g006
To fully validate the compact model and its integration into the electrical simulator, Figure 7 gives an example of bipolar OxRAM cells simulated at a circuit level, i.e., surrounded by MOS transistors.
Figure 7. Electrical simulation of 2T-1R OxRAM structure.
Figure 7. Electrical simulation of 2T-1R OxRAM structure.
Jlpea 04 00001 g007
These models have been successfully used to simulate new MOS-RRAM cells like a NVM flip-flop [25], Non-Volatile SRAM [26] and OxRAM memory array [27].

4. Model Application

4.1. OxRAM Reliability Evaluation versus OxRAM Variability [16]

In this section, an investigation in the impact of OxRAM variability on the memory array performances is proposed [16]. Indeed, variability in advanced IC designs has emerged as a roadblock and significant efforts of process and design engineers are required to decrease its impact.
Since the cell variability is calibrated on silicon using the previous OxRAM model, only the realistically possible variations are reported in this study. A large number of Monte Carlo simulations are performed to provide the statistics needed to characterize variability. Cell variations are introduced and simulated sequentially using an electrical simulator. The goal is to track an important shift of reliability parameters.
Figure 8 presents the elementary array used for simulation: it is constituted, a row decoder, a column decoder and a sense amplifier for the read operation. Memory array cells are first placed in an erase state. Then, the memory array programming is done in two cycles. First, all memory cells are set (logical “1”), then the memory array is reset (logical “0”). Logical failures can be detected at the output of the sense amplifier during the read operation after set/reset.
Figure 8. T h r e e × t h r e e OxRAM memory array.
Figure 8. T h r e e × t h r e e OxRAM memory array.
Jlpea 04 00001 g008
The best way to monitor the impact of variability on OxRAM electrical parameters is to plot the OxRAM hysteresis in transient mode (i.e., cell current evolution versus cell voltage difference during a Write/Erase cycle). Figure 9 shows the impact of the memory array cell variability (9 cells) on the circuit hysteresis.
Figure 9. Variability impact on I - V hysteresis the memory array.
Figure 9. Variability impact on I - V hysteresis the memory array.
Jlpea 04 00001 g009
It appears clearly that R L R S distribution can be severely impacted by cell variability. V R e s e t and V S e t parameters can also suffer from cell variability but in a lesser extent. Notice that R L R S and R H R S are extracted @ 0 . 5 V (read conditions). Moreover, V S e t and V R e s e t are extracted @ 40 μ A , at a circuit level.
R L R S and R H R S distributions are plotted in Figure 10. Results are presented for cell variability included in the range ± 10 % of the median value of the considered card model parameters (solid bar). Results are also provided for cell variability included in the range ± 20 % (dashed bar).
Figure 10. (a) R L R S and (b) R H R S distributions versus cell variability.
Figure 10. (a) R L R S and (b) R H R S distributions versus cell variability.
Jlpea 04 00001 g010
At 10 % , a spreading of R L R S and R H R S parameters is observed. The spreading increases significantly at 20 % . Although these values are related to a specific OxRAM technology, a good feedback can be provided to designers to optimize the sensing circuitry according to the level of controllability of the fabrication process.
V R e s e t and V S e t distributions are plotted in Figure 11a,b. Here again, the initial spreading (solid bar) increases (dashed bar) according to the variability increase. These results are of prime importance as this study predicts an increase of V S e t to the value of 1 . 5 V . This means that the programming signals provided to the cell needs to reach at least 1 . 5 V for cell to be programmed properly.
Figure 11. (a) V S e t and (b) V R e s e t distributions versus cell variability.
Figure 11. (a) V S e t and (b) V R e s e t distributions versus cell variability.
Jlpea 04 00001 g011

4.2. Diffrential Precharge Sense Amplifier for CRS Bitcell [26]

The read operation of data stored in cross-point resistive switching memory is currently one of the major challenges to develop this approach. Indeed, sneak path or destructive read with complementary resistive switching element are a strong limit to develop this type of architecture. Moreover, the resistance ratio ( R H R S / R L R S ) and the process variations have to be considered when designing a sense solution. A sense amplifier performing with high reliability is then required. Figure 12 shows a pre-charge based sense amplifier, which has demonstrated the best tolerance to different sources of variation, while keeping high speed and low power. In this sense amplifier, the read operation is performed in two phases:
  • 1st Phase: The sense amplifier is first connected to the bit-line of the selected word with SEN set to “1” and the circuit is pre-charged with PCH equals “0”;
  • 2nd Phase: The data stored in the 2R cell can be evaluated to logic level at the output Q as PCH is changed to “1” and WL is pulled down to “0”.
The Figure 13 validates the ability of the architecture to successfully read in parallel a full word. The model presented before has allowed us to assess the robustness of sense towards the variability of OxRAM or CMOS transistors [26]; and the validated complete crossbar architecture based on 2R complementary [27].
Figure 12. Pre-Charged Sense Amplifier for data sensing. It consists of a pre-charge sub-circuit (MPC0, MPC1), a pair of inverters (MNA0-1, MPA0-1), which act as an amplifier [26,27].
Figure 12. Pre-Charged Sense Amplifier for data sensing. It consists of a pre-charge sub-circuit (MPC0, MPC1), a pair of inverters (MNA0-1, MPA0-1), which act as an amplifier [26,27].
Jlpea 04 00001 g012
Figure 13. Simulation results with read phase of a selected cell [26,27].
Figure 13. Simulation results with read phase of a selected cell [26,27].
Jlpea 04 00001 g013

5. Conclusions

In conclusion, this paper deals with a compact model well suited to simultaneously describing set and reset operations in bipolar resistive switching memories based on HfO 2 -based memory devise. By gathering local electrochemical reactions and a thermal mechanism in a single master equation, the model enables us to account for both the creation and destruction of conductive filaments. The simulation results satisfactorily match quasi-static and dynamic experimental data published in the literature on resistive switching devices. In addition, the model was implemented into circuit simulators. It has been successfully used in many circuits and has enabled the prediction of relevant trends required for designing innovative memory matrix architectures or proposing distributed memories solutions.

Acknowledgements

This work was supported in part by the ANR project DIPMEM (Design and Demonstration of Digital IP based on Emerging Non-Volatile MEMories) under grant ANR-12-NANO-0010-04. The authors would like also to thanks Amara Amara and Costin Anghel from ISEP (Paris), Thomas Cabout and Olivier Thomas from CEA-Leti for fruitful discussions on hybrid CMOS-OxRAM circuits.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Hong, S. Memory Technology Trend and Future Challenges. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 6–8 December 2010; pp. 292–295.
  2. Govoreanu, B.; Kar, G.S.; Chen, Y.Y.; Paraschiv, V.; Kubicek, S.; Fantini, A.; Radu, I.P.; Goux, L.; Clima, S.; Degraeve, R.; et al. 10 × 10 nm2 Hf/HfOx Crossbar Resistive RAM with Excellent Performance, Reliability and Low-Energy Operation. In Proceedings of the IEEE International Electron Devices Meeting, Washington, DC, USA, 5–7 December 2011; pp. 729–732.
  3. Higuchi, K.; Iwasaki, T.O.; Takeuchi, K. Investigation of Verify-Programming Methods to Achieve 10 Million Cycles for 50 nm HfO2 ReRAM. In Proceedings of the 2012 4th IEEE International Memory Workshop, Milan, Italy, 20–23 May 2012; pp. 119–122.
  4. Kund, M.; Beitel, G.; Pinnow, C.U.; Rohr, T.; Schumann, J.; Symanczyk, R.; Ufert, K.D.; Muller, G. Conductive Bridging RAM (CBRAM): An Emerging Non-Volatile Memory Technology Scalable to Sub 20 nm. In Proceedings of the IEEE International Electron Devices Meeting, Washington, DC, USA, 5 December 2005; pp. 754–757.
  5. Lee, H.Y.; Chen, P.S.; Wu, T.Y.; Chen, Y.S.; Wang, C.C.; Tzeng, P.J.; Lin, C.H.; Chen, F.; Lien, C.H.; Tsai, M.J. Low Power and High Speed Bipolar Switching with a Thin Reactive Ti Buffer Layer in Robust HfO2 Based RRAM. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2008; pp. 1–4.
  6. Baek, I.G.; Lee, M.S.J.; Seo, S.; Seo, D.H.; Suh, D.S.; Park, J.C.; Park, S.O.; Kim, H.S.; Yoo, I.K.; Chung, U.I.; et al. Highly Scalable Nonvolatile Resistive Memory Using Simple Binary Oxide Driven by Asymmetric Unipolar Voltage Pulses. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 13–15 December 2004; pp. 587–590.
  7. Waser, R.; Aono, M. Nanoionics-based resistive switching memories. Nat. Mater. 2007, 6, 833–840. [Google Scholar] [CrossRef] [PubMed]
  8. Seo, S.; Lee, M.J.; Seo, D.H.; Jeoung, E.J.; Suh, D.S.; Joung, Y.S.; Yoo, I.K.; Hwang, I.R.; Kim, S.H.; Byun, I.S.; et al. Reproducible resistance switching in polycrystalline NiO films. Appl. Phys. Lett. 2004, 85, 5655–5657. [Google Scholar] [CrossRef]
  9. Kim, W.G.; Sung, M.G.; Kim, S.J.; Kim, J.Y.; Moon, J.W.; Yoon, S.J.; Kim, J.N.; Gyun, B.G.; Kim, T.W.; Kim, C.H.; et al. Dependence of the Switching Characteristics of Resistance Random Access Memory on the Type of Transition Metal Oxide. In Proceedings of the IEEE European Solid State Device Research Conference, Sevilla, Spain, 14–16 September 2010; pp. 400–403.
  10. Fang, T.N.; Kaza, S.; Haddad, S.; Chen, A.; Wu, Y.C.; Lan, Z.; Avanzino, S.; Liao, D.; Gopalan, C.; Choi, S.; et al. Erase Mechanism for Copper Oxide Resistive Switching Memory Cells with Nickel Electrode. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 11–13 December 2006; pp. 1–4.
  11. Nardi, F.; Larentis, S.; Balatti, S.; Gilmer, D.C.; Ielmini, D. Resistive switching by voltage-driven ion migration in bipolar RRAM—Part I: Experimental study. IEEE Trans. Electron Devices 2012, 59, 2461–2467. [Google Scholar] [CrossRef]
  12. Fang, Z.; Yu, H.Y.; Singh, N.; Lo, G.Q.; Kwong, D.L. HfOx/TiOx/HfOx/TiOx Multilayer-based sith excellent uniformity. IEEE Electron Device Lett. 2011, 32, 566–568. [Google Scholar] [CrossRef]
  13. Kim, W.; Park, S.I.; Zhang, Z.; Yang-liauw, Y.; Sekar, D.; Wong, H.P.; Wong, S.S. Forming-Free Nitrogen-Doped AlOX RRAM with Sub-μA Programming Current. In Proceedings of the 2011 Symposium on VLSI Technology (VLSIT), Honolulu, HI, USA, 14–16 June 2011; pp. 22–23.
  14. Gao, B.; Yu, S.; Xu, N.; Liu, L.F.; Sun, B.; Liu, X.Y.; Han, R.Q.; Kang, J.F.; Yu, B.; Wang, Y.Y. Oxide-Based RRAM Switching Mechanism: A New Ion-Transport-Recombination Model. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2008; pp. 1–4.
  15. Bocquet, M.; Deleruyelle, D.; Muller, C.; Portal, J.M. Self-consistent physical modeling of set/reset operations in unipolar resistive-switching memories. Appl. Phys. Lett. 2011, 98. [Google Scholar] [CrossRef]
  16. Aziza, H.; Bocquet, M.; Portal, J.M.; Muller, C. Evaluation of OxRAM Cell Variability Impact on Memory Performances through Electrical Simulations. In Proceedings of the 11th Annual Non-Volatile Memory Technology Symposium (NVMTS), Shanghai, China, 7–9 November 2011; pp. 1–5.
  17. Aziza, H.; Bocquet, M.; Portal, J.M.; Muller, C. Bipolar OxRRAM Memory Array Reliability Evaluation Based on Fault Injection. In Proceedings of the 2011 IEEE 6th International Design and Test Workshop (IDT), Beirut, Lebanon, 11–14 December 2011; pp. 78–81.
  18. Bard, A.J.; Faulkner, L.R. Electrochemical Methods: Fundamentals and Applications; John Wiley & Sons, Inc.: New York, NY, USA, 2001; pp. 92–100. [Google Scholar]
  19. Akinaga, H.; Shima, H. Resistive Random Access Memory (ReRAM) based on metal oxides. Proc. IEEE 2010, 98, 2237–2251. [Google Scholar] [CrossRef]
  20. Wong, H.S.P.; Lee, H.Y.; Yu, S.; Chen, Y.S.; Wu, Y.; Chen, P.S.; Lee, B.; Chen, F.T.; Tsai, M.J. Metal-oxide RRAM. Proc. IEEE 2012, 100, 1951–1970. [Google Scholar] [CrossRef]
  21. Cagli, C.; Buckley, J.; Jousseaume, V.; Cabout, T.; Salaun, A.; Grampeix, H.; Nodin, J.F.; Feldis, H.; Persico, A.; Cluzel, J.; et al. Experimental and Theoretical Study of Electrode Effects in HfO2 Based RRAM. In Proceedings of the 2011 International Electron Devices Meeting (IEDM), Washington, DC, USA, 5–7 December 2011; pp. 28.7.1–28.7.4.
  22. Nardi, F.; Ielmini, D.; Cagli, C.; Spiga, S.; Fanciulli, M.; Goux, L.; Wouters, D.J. Control of filament size and reduction of reset current below 10 μA in NiO resistance switching memories. Solid-State Electron. 2011, 58, 42–47. [Google Scholar] [CrossRef]
  23. Kinoshita, K.; Tsunoda, K.; Sato, Y.; Noshiro, H.; Yagaki, S.; Aoki, M.; Sugiyama, Y. Reduction in the reset current in a resistive random access memory consisting of NiOx brought about by reducing a parasitic capacitance. Appl. Phys. Lett. 2008, 93. [Google Scholar] [CrossRef]
  24. Tsunoda, K.; Kinoshita, K.; Noshiro, H.; Yamazaki, Y.; Iizuka, T.; Ito, Y.; Takahashi, A.; Okano, A.; Sato, Y.; Fukano, T.; et al. Low Power and High Speed Switching of Ti-Doped NiO ReRAM under the Unipolar Voltage Source of Less than 3V. In Proceedings of the IEEE International Electron Devices Meeting (IEDM 2007), Washington, DC, USA, 10–12 December 2007; pp. 767–770.
  25. Portal, J.M.; Bocquet, M.; Deleruyelle, D.; Muller, C. Non-volatile flip-flop based on unipolar ReRAM for power-down applications. J. Low Power Electron. 2012, 8, 1–10. [Google Scholar] [CrossRef]
  26. Aziza, H.; Makosiej, A.; Palma, G.; Portal, J.M.; Bocquet, M.; Thomas, O.; Clermidy, F.; Reyboz, M.; Onkaraiah, S.; Muller, C.; et al. Operation and stability analysis of bipolar OxRRAM-based non-volatile 8T2R SRAM as solution for information back-up. Solid-State Electron. 2013, 90, 99–106. [Google Scholar]
  27. Zhao, W.S.; Zhang, Y.; Klein, J.O.; Querlioz, D.; Chabi, D.; Ravelosona, D.; Chappert, C.; Portal, J.M.; Bocquet, M.; Aziza, H.; et al. Crossbar Architecture Based on 2R Complementary Resistive Switching Memory Cell. In Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), Amsterdam, the Netherlands, 4–6 July 2012; pp. 85–92.

Share and Cite

MDPI and ACS Style

Bocquet, M.; Aziza, H.; Zhao, W.; Zhang, Y.; Onkaraiah, S.; Muller, C.; Reyboz, M.; Deleruyelle, D.; Clermidy, F.; Portal, J.-M. Compact Modeling Solutions for Oxide-Based Resistive Switching Memories (OxRAM). J. Low Power Electron. Appl. 2014, 4, 1-14. https://doi.org/10.3390/jlpea4010001

AMA Style

Bocquet M, Aziza H, Zhao W, Zhang Y, Onkaraiah S, Muller C, Reyboz M, Deleruyelle D, Clermidy F, Portal J-M. Compact Modeling Solutions for Oxide-Based Resistive Switching Memories (OxRAM). Journal of Low Power Electronics and Applications. 2014; 4(1):1-14. https://doi.org/10.3390/jlpea4010001

Chicago/Turabian Style

Bocquet, Marc, Hassen Aziza, Weisheng Zhao, Yue Zhang, Santhosh Onkaraiah, Christophe Muller, Marina Reyboz, Damien Deleruyelle, Fabien Clermidy, and Jean-Michel Portal. 2014. "Compact Modeling Solutions for Oxide-Based Resistive Switching Memories (OxRAM)" Journal of Low Power Electronics and Applications 4, no. 1: 1-14. https://doi.org/10.3390/jlpea4010001

Article Metrics

Back to TopTop