Next Article in Journal
Vehicle Detection Algorithms for Autonomous Driving: A Review
Previous Article in Journal
Laser-Based Mobile Visible Light Communication System
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Enhancing Si3N4 Selectivity over SiO2 in Low-RF Power NF3–O2 Reactive Ion Etching: The Effect of NO Surface Reaction

1
Mechanical Engineering, KIMM Campus, University of Science and Technology (UST), Daejeon 34113, Republic of Korea
2
Semiconductor Manufacturing Research Center, Korea Institute of Machinery and Materials (KIMM), Daejeon 34103, Republic of Korea
3
R&D Center, Naieel Technology, Daejeon 34104, Republic of Korea
4
Department of Nano-Devices & Display, Korea Institute of Machinery and Materials (KIMM), Daejeon 34103, Republic of Korea
5
Department of Physics, Chungnam National University (CNU), Daejeon 34134, Republic of Korea
6
Nano-Mechatronics, KIMM Campus, University of Science and Technology (UST), Daejeon 34113, Republic of Korea
*
Author to whom correspondence should be addressed.
These authors contributed equally to this work.
Sensors 2024, 24(10), 3089; https://doi.org/10.3390/s24103089
Submission received: 12 April 2024 / Revised: 10 May 2024 / Accepted: 11 May 2024 / Published: 13 May 2024
(This article belongs to the Special Issue Plasma Sensors and Their Applications)

Abstract

:
Highly selective etching of silicon nitride (Si3N4) and silicon dioxide (SiO2) has received considerable attention from the semiconductor community owing to its precise patterning and cost efficiency. We investigated the etching selectivity of Si3N4 and SiO2 in an NF3/O2 radio-frequency glow discharge. The etch rate linearly depended on the source and bias powers, whereas the etch selectivity was affected by the power and ratio of the gas mixture. We found that the selectivity can be controlled by lowering the power with a suitable gas ratio, which affects the surface reaction during the etching process. X-ray photoelectron spectroscopy of the Si3N4 and QMS measurements support the effect of surface reaction on the selectivity change by surface oxidation and nitrogen reduction with the increasing flow of O2. We suggest that the creation of SiOxNy bonds on the surface by NO oxidation is the key mechanism to change the etch selectivity of Si3N4 over SiO2.

1. Introduction

Silicon nitride (Si3N4), a widely used silicon-based material in the contemporary semiconductor industry, has been implemented in complementary metal (oxide) devices as a gate spacer and diffusion barrier against alkali and copper ions [1]. It has recently been used as a sacrificial charge-trapping layer in three-dimensional (3D) vertical NOT AND (NAND) flash memory devices [2,3]. In these devices, Si3N4 is combined with silicon dioxide (SiO2), which is another dielectric widely used as a premetal, intermetal, tunneling oxide, and blocking oxide [4]. To integrate them into complex semiconductor devices for various applications, Si3N4 and SiO2 must be selectively removed without affecting the other constituents. For instance, the gate electrodes in 3D vertical NAND devices must be formed by selectively removing Si3N4 while minimally etching SiO2. However, the etching should be performed with excellent selectivity in self-aligned contact methods [5,6,7,8,9].
Particularly, 3D vertical NAND flash memory devices with alternating Si3N4/SiO2 multilayered stacks require extremely selective etching of Si3N4 [10,11]. One of the most challenging processes in the integration of these devices is the selective removal of Si3N4 without thinning the SiO2 layer using a high-aspect ratio slit. This is because the memory density of 3D NAND devices increases with the increasing number of Si3N4/SiO2 stack layers [12].
Wet etching is usually employed for etching Si-based semiconductor materials, and this process is still being studied to increase etch selectivity [13,14,15]. However, dry etching is recommended over wet chemical etching owing to its numerous benefits. For instance, the management of dangerous acids and solvents is not required, and anisotropic and isotropic etching profiles can be achieved. Other advantages include excellent resolution, minimal or zero undercutting, consistent outcomes, and improved process control. Plasma etching is a special method for altering the surface characteristics of materials using reactive species produced in the gas phase. While the flux and energy of ions and radicals impact surface-controlled reaction rates, gas-phase chemical reaction rates are determined by plasma parameters such as electron and ion densities and electron temperature [16]. Pinpointing the precise etching surface mechanisms in plasma etching is challenging owing to the complexity of gas-phase reaction pathways, which include excitation, ionization, dissociation, attachment, and recombination. These pathways affect the concentrations of active precursors, including charged and neutral species, and are influenced by the feedstock chemistry, discharge configuration, material properties of the chamber wall, gas and surface temperatures, as well as operating parameters such as pressure and flow rates. In a dry etching reactor, controlling the plasma characteristics is crucial to satisfying the requirements for miniaturized semiconductor device dimensions. Radio frequency (RF) power is used in plasma-based reactive ion etching (RIE) to propel chemical reactions. This process involves a combination of chemical and physical etching [17]. However, RIE procedures are limited by low selectivity, poor chemical/physical balance, and surface damage [18,19]. Efforts have been made to enhance the etch selectivity of Si3N4 over SiO2 in several RIE systems, such as magnetron RIE, RF inductively coupled plasma RIE, and capacitively coupled plasma RIE, using various fluorinated etch gases, including CHF3, NF3, CH2FCH2F, and SF6. However, the etch selectivity values are small and lie in the range of 0.2–10 and are shown in Table 1.
To increase the etch selectivity of Si3N4 over SiO2, this study investigated their etching properties, including etch rate and selectivity in NF3 and O2 gases, using an RIE system. The neutral species produced in the NF3 plasma were analyzed using quadrupole mass spectrometry. The surface chemistries of the processed Si3N4 samples were examined using X-ray photoelectron spectroscopy. Plasma information (e.g., electron temperature and electron density) was calculated using the line-ratio method based on the data obtained using optical emission spectrometry. The effect of adding O2 to the NF3 plasma on the regulation of etch selectivity was also investigated.

2. Materials and Methods

Figure 1 shows the RIE (Rainbow4420, Lam Research, Fremont, CA, USA) equipment used in the experiments. Etching gases, such as NF3 and O2, were injected into the reaction chamber with an RF discharge. An RF source power of 13.56 MHz in an inductively coupled discharge type ignited a glow plasma to generate the gas-phase etching environment, which comprised positive and negative ions, electrons, radicals, and neutrals from the NF3–O2 mixture. A coil antenna was used to homogenize the plasma, and an RF bias power of 13.56 MHz at the bottom of the chamber was used to generate a DC self-bias and enhance the energy of the ions bombarding the substrate. The pressure for all experiments was set to 80 mTorr. In most experiments, the bias RF electrode was covered with a 6 in. carrier Si wafer placed on an electrostatic chuck in the reaction chamber. Si3N4 or SiO2 samples of size 1 × 1 in. were glued to the carrier wafer. The samples used in this study were fabricated using plasma-enhanced chemical vapor deposition (PECVD, Precision 5000, Applied Materials, Santa Clara, CA, USA) to a thickness of approximately 300 nm. A helium pressure of 5 Torr was maintained between the surface of the electrostatic chuck and carrier wafer for good heat conduction. For in situ monitoring, a quadrupole mass spectrometer (QMS) (Pfeiffer Vacuum, QMG220F1, Aßlar, Germany), which can detect molecular species of up to 120 amu, was mounted adjacent to the reaction chamber to analyze the plasma-generated species. The ionization region of the mass spectrometer was consistent with that of the reaction chamber. The ionizing electron energy was 30 eV, and the pressure in the mass spectrometer was approximately 70 mTorr. For optical emission experiments of the discharge, a fiberoptic cable was mounted beside the reaction chamber. The spectrograph was an optical multichannel analyzer (Ocean Optics USB 200, Dunedin, FL, USA) covering the spectral range of 200–1100 nm. For the glow discharge of NF3/Ar systems, the line ratio method, validated by Zhu et al. [24,25], was used to calculate the electron temperature and electron density from the collected optical emission spectroscopy (OES) data. Argon (2 sccm) was added to the process gas for spectroscopic analysis and obtaining the electron temperature and electron density without affecting the process owing to its inertness. These two parameters were calculated using a reported model [24,25,26].
For ex situ monitoring, spectroscopic ellipsometry (M2000, J. A. Woollam, Lincoln, NE, USA) was used to measure the film thickness before and after etching. Data analysis of the collected spectra was performed using the models for Si3N4 and SiO2. The etch rate was calculated by varying the etching depths of the samples etched for 5 min. The surface chemical properties of the films were analyzed using X-ray photoelectron spectroscopy (XPS; Multilab 2000, VG Scientific, London, UK) with a twin anode X-ray source for Mg–Kα.

3. Results

In RIE, material etching involves chemical and physical etching [17]; the former is involved in the reaction of neutrals (e.g., free radicals) with the surface of the etched material to produce volatile products, whereas the latter is involved in the energetic ion bombardment for ejecting surface atoms [17,27,28]. The result of the etching process is influenced by numerous parameters, such as the etching gas, generator frequency, flow rate, pressure, power, and electrode geometry [29]. However, owing to the limitations of our equipment, only the source and bias powers and the components of the etching gas mixture were investigated. The source and bias powers were studied to control the energy of the ions bombarding the wafer during anisotropic profile creation and etch rate enhancement [28,30,31,32,33,34,35]. The etching gas ratios of NF3 and O2 were studied to clarify the surface reactions that occurred during etching. The chamber pressure and total gas flow were maintained at 80 mTorr and 100 sccm, respectively.

3.1. Effect of Source and Bias Powers on Etch Rate and Etch Selectivity

Two types of experiments were performed to determine the influence of power on the etching characteristics of both materials. In the first experiment, the effect of source power on Si3N4 and SiO2 etching was investigated (Figure 2). The etch rates of both materials increase as the source power increases; however, Si3N4 exhibits higher etch rates at all source powers. The etch selectivity increases by a factor greater than three. Particularly, the maximum etch selectivity exhibited was 24 at a source power of 30 W. The etch selectivity values of Si3N4 and SiO2 decrease with increasing source power (Figure 2b).
In the second experiment, the dependence of the etching characteristics of Si3N4 and SiO2 on the bias power was studied. The power source was maintained at 300 W, and the experimental parameters were similar to those in the previous experiment. Figure 2c shows that the etch rates of Si3N4 and SiO2 are increasing with increasing bias power. The Si3N4 etch rate was higher than the SiO2 etch rate by a factor of 1.5. As shown in Figure 2d, an increase in the bias power resulted in a gradual decrease in the etch selectivity from 3.4 to 1.8.
Figure 2a,c indicate that the bias power has a stronger effect on the measured etch rate than the source power, considering the same total power. However, the etch selectivity decreases with increasing source or bias power. Based on the investigated power conditions, the maximum selectivity of approximately 24 was obtained at applied bias and source powers of 0 and 30 W, respectively.
XPS described the surface modifications of the materials after etching. In Figure 3, the experimental spectra are shown as solid lines, and the fitted spectra are depicted as red and pale violet peaks. The modified surfaces of Si3N4 samples at various source powers are shown in Figure 3a. The Si (2p) peak at an applied source power of 30 W shifts from 101.2 to 103.3 eV, which can be reportedly attributed to the SiOxNy peak [36,37]. In contrast to the etched Si3N4 surface at a source power of 30 W, no peak shift was observed on the etched surface when the source power increased from 100 to 500 W. In Figure 3b, no shift is observed in the Si (2p) XPS spectrum of the Si3N4 surface after etching. Hence, the surface reaction effect on Si3N4 etching was not significant. The SiOxNy peak shown in Figure 3a indicates that the Si3N4 surface was oxidized after etching at applied bias and source powers of 0 and 30 W, respectively.
Mass spectrometry was used to determine the relative changes in the concentrations of reactive neutral species generated in the plasma at high power. Figure 4a shows the correlations between the mass spectrometric signal intensities of O, F, NO, and NF2 and the source power. The concentration of the species slightly depended on the increase in source power. Previous studies have used NO as an important precursor for etching Si3N4 owing to its ability to remove N atoms from the surfaces of etched materials [38,39,40,41]. The detection of NO in the plasma indicates that Si3N4 etching occurred via surface reactions. Figure 4b shows that the concentration of species remained nearly constant with an increasing bias power, which is similar to the data in Figure 4a. This result can be attributed to the low dissociation energy of NF3 owing to the electron impact in the plasma [42,43]. As power increases, the negligible increase in the concentration of the species related to surface reactions during etching indicates that chemical etching is not the dominant factor for the increase in etch rates, as shown in Figure 2a,c.
Electron temperature (Te) and electron density (ne) are the most important parameters in plasma etching. They are used to determine plasma characteristics, such as the plasma potential (ion bombardment energy on a substrate), sheath thickness, electron thermal velocity, ion flux, and etch rate related to physical etching. In this study, Te and ne were calculated using the equations validated by Zhu et al. [21,22,23]. Figure 4c,d show the relation between the applied power and Te and ne, as denoted by black squares and blue rectangles, respectively. Figure 4c shows that Te increases with increasing source power, and the electron density for the source power of 30–300 W is in the range 0.16 × 1011–12.65 × 1011 cm−3. Te and ne represent the plasma characteristics, which lead to a particular behavior related to the generation of plasma species and the energy of ion bombardment on the etched surface. Therefore, the increase in Te and ne increases the ion density and ion bombardment energy, thereby enhancing the etch rates of both materials, as shown in Figure 2a. Figure 4d shows the relation between the bias power and Te and ne, which remain nearly constant. In contrast to the source power, the bias power only increases the ion energy (i.e., DC bias) [44,45]. Consequently, the increase in etch rate shown in Figure 2c is positively correlated with the ion energy.
Considering the relation between the etch rate and applied power (Figure 2a,c), the bias power has a stronger positive effect on the etch rate than the source power.

3.2. Effect of the O2/NF3 Gas Ratio on Etch Rates and Etch Selectivity

Figure 5 shows the effect of O2 addition on the etching of Si3N4 and SiO2. Figure 5a shows that the Si3N4 etch rate significantly enhances with an increasing O2/NF3 ratio. In all cases, the etch rates of Si3N4 exceed those of SiO2 by a factor of 10 or more. However, an increase in the SiO2 etch rate decreases the etch selectivity from 24 to 10, corresponding to an increase in the O2/NF3 ratio (shown in Figure 5b).
The relationship between the intensity of the oxidized SiOxNy peak of the etched Si3N4 and the variation in gas ratio is described by the Si (2p) spectra of the Si3N4 surface after etching, as shown in Figure 6a. The Si (2p) peak increased the SiOxNy peak intensity at high binding energies when the gas ratio increased from 0.1 to 1. This corresponded to an increased number of Si atoms bonded to O atoms during etching. However, the SiO2 peak did not shift when the gas ratio increased (Figure 6b). Figure 6c shows a significant increase in the O (1s) intensity and a simultaneous decrease in the N (1s) intensity with increasing gas ratio.
As shown in Figure 7a, the NO intensity measured using the QMS increased linearly with the gas ratio. The results shown in Figure 6a–c and Figure 7a indicate that the etching of Si3N4 at various O2/NF3 gas ratios and applied bias and source powers of 0 and 30 W, respectively, was carried out predominantly by the surface reactions of the NO species.
Figure 7b shows the dependencies of Te and ne on the gas ratio; the former is nearly constant at 2.5 ± 0.1 eV for all investigated ratios, whereas the latter increases slightly with increasing gas ratio. Therefore, the increase in etch rate at various gas ratios does not correlate with the energy of the ions bombarding the etched surface.

4. Discussion

The effect of power increment is crucial in enhancing the etch rates of both materials (Figure 2); however, it has an insignificant effect on etch selectivity. High etch selectivity was not observed at high applied powers because ion-driven etching was dominant (Figure 4). Surface oxidation was only observed at applied bias and source powers of 0 and 30 W, respectively; it was not observed at higher powers (Figure 3a,b). In Figure 3a, the shifted SiOxNy peak of Si3N4 etching indicates that the highest selective etching at the aforementioned powers was achieved using plasma neutrals. The high selectivity of Si3N4 over SiO2 at low power can be attributed to the chemical reactions occurring only on the surface of Si3N4. Previous studies have reported that for etching at low energies, adsorption preceded a chemical reaction rather than physical etching [46,47,48]. Our results were consistent with these conclusions.
The chemistry of the etching process was investigated by varying the gas ratios. Figure 6a shows the surface oxidation in the etched Si3N4 with a significant increase in the O (1s) intensity (Figure 6c), which was not observed in the SiO2 etching process (Figure 6b). Oxidation is related to the NO generated by the plasma containing NF3–O2; therefore, the enhanced Si3N4 etch rate in Figure 5 is caused by an increase in NO intensity, as shown in Figure 7a. The nearly constant plasma information (Te and ne) with changes in the gas ratio in Figure 7b indicates that physical etching is not dominant. The increase in the etch rate with increasing gas ratios did not significantly correlate with physical etching.
Based on the results of this study and previous reports, the mechanism of Si3N4 etch in the plasma containing NF3–O2 can be explained as follows: nitrogen trifluoride is easily dissociated by electron impact. Because the NF2–F bond strength (2.5 eV) is lower than the electron affinity of the F atom (3.6 eV), the threshold energy for the first step, that is, dissociative electron attachment, is approximately zero [42,43].
e + NF3 → NF2 +F
In the presence of O2, oxygen atoms quickly react with NF2 and NF as follows [49,50]:
O + NF2 → NF + OF
O + NF → NO + F
NO is produced through plasma containing nitrogen and oxygen, as follows [51]:
N + O + (M) → NO* + (M)
N+ + O2 → O+ + NO + 2.3 eV (about 10%)
N+ + O2 → O + NO+ + 6.7 eV (about 86%)
N+ + O2 → O + NO+* + 0.3 eV (about 4%)
Several mechanisms of Si3N4 etching have been reported [38,39,51]. They are all related to the bonding of a surface N atom with NO, which is highly reactive owing to its unpaired electron that is localized around the N atom. Volatile species are produced in the subsequent reaction steps. Therefore, the NO molecules enhance the rate at which N atoms are removed from the surface. The XPS results in Figure 6 reveal a close correlation between the removal of surface N atoms and the increased NO density with the increasing O2/NF3 gas ratio (Figure 7a). The nitrogen content of the Si3N4 surface is inversely proportional to the NO density (Figure 6c and Figure 7a). The proposed mechanisms are as follows:
Nsurface + NOgas → N2 gas + Osurface
Nsurface + NOgas → N2Ogas
2 Nsurface + 2 NOgas → 2 N2 gas + O2 gas
Our results are consistent with the mechanism proposed in Equation (8). It is first-order in NO, and each N atom removed from the surface is replaced with an O atom, as shown in Figure 6c. This agrees with the linear dependencies of the etch rates and surface oxidation on the NO density (Figure 2c and Figure 7a). The mechanism in Equation (9) cannot explain the increased surface oxidation, as shown in Figure 6c, because N2O was not detected by the QMS in our experiments. The third mechanism, shown in Equation (10), does not involve Si3N4 surface oxidation, as shown in the XPS spectra in Figure 3 and Figure 6. The depletion of N on the surface by NO (Equation (8)) led to a reaction between the Si and F atoms, yielding the by-product SiF4 as follows:
4F + Sisurface → SiF4
The oxidation of NO occurring on the Si3N4 surface and the etch process are illustrated in Figure 8. The decrease in the selectivity of Si3N4 over SiO2 with an increasing ratio of O2/NF3 is caused by an increase in the SiO2 etch rate (shown in Figure 4c), which can be explained by the increased F concentration in the plasma with decreasing O2 content [52].

5. Conclusions

This study investigated the characteristics of etching Si3N4 and SiO2 at various source and bias powers and O2/NF3 gas ratios using RIE equipment. The etch rates of both materials increased with increasing power. Compared with previous studies, a high etch selectivity of 24 was achieved at low power [6,20,21,22,23]. The high selectivity of Si3N4 over SiO2 was caused by the creation of SiOxNy bonds on the Si3N4 surface from NO oxidation. In the simultaneous etching of Si3N4 and SiO2 to increase the Si3N4 etch selectivity, NO-related chemical etching should be considered. Contrastingly, RF power must be controlled to enhance the etch rates of the materials. However, this study has not addressed the decreasing etch selectivity with the increasing SiO2 etch rate and O2/NF3 gas ratio. Therefore, the relationship between O2 concentration and increasing SiO2 etch rate requires further investigation.

Author Contributions

Conceptualization N.H.T., H.L. and W.S.K.; methodology N.H.T., H.L., D.K.D., D.-W.K., J.Y.L. and G.W.E.; validation N.H.T., H.L., D.K.D., D.-W.K., J.Y.L. and G.W.E.; investigation N.H.T. and H.L.; writing—original draft preparation N.H.T. and H.L.; writing—review and editing, D.K.D., D.-W.K., J.Y.L., H.-U.K. and W.S.K.; visualization N.H.T. and H.L.; supervision, W.S.K.; project administration, W.S.K. and H.-U.K.; funding acquisition, W.S.K. and H.-U.K. All authors have read and agreed to the published version of the manuscript.

Funding

This study was supported by the KIMM Institutional Program (NK248E) and NST/KIMM, the Technology Innovation Program (or Industrial Strategic Technology Development Program) (20024772), (RS-2023-00264860), funded by the Ministry of Trade, Industry and Energy (MOTIE, Korea) (1415187508), and NST/KIMM.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Data are contained within the article.

Conflicts of Interest

Duy Khoe Dinh was employed by the R&D Center, Naieel Technology, at Daejeon 34104, Republic of Korea. The remaining authors declare that the research was conducted in the absence of any commercial or financial relationships that could be construed as a potential conflict of interest.

References

  1. Yeo, Y.C.; Lu, Q.; Ranade, P.; Takeuchi, H.; Yang, K.J.; Polishchuk, I.; King, T.J.; Hu, C.; Song, S.C.; Luan, H.F.; et al. Dual-metal gate CMOS technology with ultrathin silicon nitride gate dielectric. IEEE Electron Device Lett. 2001, 22, 227–229. [Google Scholar]
  2. Silvagni, A. 3D NAND flash based on planar cells. Computers 2017, 6, 28. [Google Scholar] [CrossRef]
  3. Kim, S.; Kwon, D.W.; Lee, S.H.; Park, S.K.; Kim, Y.; Kim, H.; Kim, Y.G.; Cho, S.; Park, B.G. Characterization of the vertical position of the trapped charge in charge-trap flash memory. J. Semicond. Technol. Sci. 2017, 17, 167–173. [Google Scholar]
  4. Tzeng, S.D.; Gwo, S. Charge trapping properties at silicon nitride/silicon oxide interface studied by variable-temperature electrostatic force microscopy. J. Appl. Phys. 2006, 100, 023711. [Google Scholar] [CrossRef]
  5. Kim, S.B.; Choi, D.G.; Hong, T.E.; Park, T.S.; Kim, D.S.; Song, Y.W.; Kim, C.I. Study on self-aligned contact oxide etching using C5F8/O2/Ar and C5F8/O2/Ar/CH2F2 plasma. J. Vac. Sci. Technol. A 2005, 23, 953–958. [Google Scholar] [CrossRef]
  6. Schaepkens, M.; Standaert, T.E.F.M.; Rueger, N.R.; Sebel, P.G.M.; Oehrlein, G.S.; Cook, J.M. Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2 -to-Si mechanism. J. Vac. Sci. Technol. A 1999, 17, 26–37. [Google Scholar] [CrossRef]
  7. Tatsumi, T.; Matsui, M.; Okigawa, M.; Sekine, M. Control of surface reactions in high-performance SiO2 etching. J. Vac. Technol. B 2000, 18, 1897–1902. [Google Scholar] [CrossRef]
  8. Abe, H.; Yoneda, M.; Fujiwara, N. Developments of plasma etching technology for fabricating semiconductor devices. Jpn. J. Appl. Phys. 2008, 47, 1435–1455. [Google Scholar] [CrossRef]
  9. Oehrlein, G.S.; Hamaguchi, S. Foundations of low-temperature plasma enhanced materials synthesis and etching. Plasma Source Sci. Technol. 2018, 27, 023001. [Google Scholar] [CrossRef]
  10. Aritome, S. NAND Flash Memory Technologies, 1st ed.; John Wiley & Sons: Hoboken, NJ, US, 2015; p. 273. [Google Scholar]
  11. Park, K.T.; Nam, S.; Kim, D.; Kwak, P.; Lee, D.; Choi, Y.H.; Choi, M.H.; Kwak, D.H.; Kim, D.H.; Kim, M.S.; et al. Three-dimensional 128 Gb MLC vertical NAND flash memory with 24-WL stacked layers and 50 MB/s high-speed programming. IEEE J. Solid-State Circ. 2015, 50, 204–213. [Google Scholar] [CrossRef]
  12. Kim, J.; Hong, A.J.; Kim, S.M.; Shin, K.S.; Song, E.B.; Hwang, Y.; Xiu, F.; Galatsis, K.; Chui, C.O.; Candler, R.N.; et al. A stacked memory device on logic 3D technology for ultra-high-density data storage. Nanotechnology 2011, 22, 254006. [Google Scholar] [CrossRef]
  13. Song, J.; Park, K.; Jeon, S.; Lee, J.; Kim, T. Development of a novel wet cleaning solution for Post-CMP SiO2 and Si3N4 films. Mater. Sci. Semicond. Process. 2022, 140, 10635. [Google Scholar] [CrossRef]
  14. Zhou, Z.; Han, S.; Wu, Y.; Hang, T.; Ling, H.; Guo, J.; Wang, S.; Li, M. Study on the SiO2 wet-etching mechanism using γ-ureidopropyltriethoxysilane as an inhibitor for 3D NAND fabrication. ACS Appl. Electron. Mater. 2024, 6, 2788–2795. [Google Scholar] [CrossRef]
  15. Kim, T.; Park, T.; Lim, S. Improvement of Si3N4/SiO2 etching selectivity through the passivation of SiO2 surface in aromatic carboxylic acid-added H3PO4 solutions for the 3D NAND integration. Appl. Surf. Sci. 2023, 619, 156758. [Google Scholar] [CrossRef]
  16. Lieberman, M.A.; Lichtenberg, A.J. Principles of Plasma Discharges and Materials Processing, 2nd ed.; John Wiley & Sons, Inc.: Hoboken, NJ, USA, 2005; pp. 289–299. [Google Scholar]
  17. He, B.; Yang, Y.; Yuen, M.F.; Chen, X.F.; Lee, C.S.; Zhang, W.J. Vertical nanostructure arrays by plasma etching for applications in biology, energy, and electronics. Nano Today 2013, 8, 265–289. [Google Scholar] [CrossRef]
  18. Stephen, J.F. An overview of dry etching damage and contamination effects. J. Electrochem. Soc. 1990, 137, 3885–3892. [Google Scholar]
  19. Pang, S.W. Surface damage on GaAs induced by reactive ion etching and sputter etching. J. Electrochem. Soc. 1986, 133, 784–787. [Google Scholar] [CrossRef]
  20. Standaert, T.E.F.M.; Hedlund, C.; Joseph, E.A.; Oehrlein, G.S.; Dalton, T.J. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide. J. Vac. Sci. Technol. A 2004, 22, 53–60. [Google Scholar] [CrossRef]
  21. Machima, P.; Hershkowitz, N. SiO2 and Si3N4 etch mechanisms in NF3/hydrocarbon plasma. J. Phys. D Appl. Phys. 2006, 39, 673–684. [Google Scholar] [CrossRef]
  22. Pankratiev, P.; Barsukov, Y.; Vinogradov, A.; Volynets, V.; Kobelev, A.; Smirnov, A.S. Selective SiN/SiO etching by SF6/H2/Ar/He plasma. AIP Conf. Proc. 2019, 2179, 020017. [Google Scholar]
  23. Hsiao, S.N.; Ishikawa, K.; Hayashi, T.; Ni, J.; Tsutsumi, T.; Sekine, M.; Hori, M. Selective etching of SiN against SiO2 and poly-Si films in hydrofluoroethane chemistry with a mixture of CH2FCHF2, O2, and Ar. App. Surf. Sci. 2021, 541, 148439. [Google Scholar] [CrossRef]
  24. Zhu, X.M.; Pu, Y.K. Using OES to determine electron temperature and density in low-pressure nitrogen and argon plasmas. Plasma Sources Sci. Technol 2008, 17, 024002. [Google Scholar] [CrossRef]
  25. Zhu, X.M.; Pu, Y.K. Optical emission spectroscopy in low-temperature plasmas containing argon and nitrogen: Determination of the electron temperature and density by the line-ratio method. J. Phys. D Appl. Phys. 2010, 43, 403001. [Google Scholar] [CrossRef]
  26. Zhu, X.M.; Chen, W.C.; Li, J.; Pu, Y.K. Determining the electron temperature and the electron density by a simple collisional–radiative model of argon and xenon in low-pressure discharges. J. Phys. D Appl. Phys. 2009, 42, 025203. [Google Scholar] [CrossRef]
  27. Park, H.M.; Garvin, C.; Grimard, D.S.; Grizzle, J.W. Control of ion energy in a capacitively coupled reactive ion etcher. J. Electrochem. Soc. 1998, 145, 4247–4252. [Google Scholar] [CrossRef]
  28. Coburn, J.W. Role of ions in reactive ion etching. J. Vac. Sci. Technol. A 1994, 12, 1417–1424. [Google Scholar] [CrossRef]
  29. Catherine, Y. Plasma Processing, 1st ed.; Electrochemical Society: Pennington, NJ, US, 1985; p. 317. [Google Scholar]
  30. Kawata, H.; Murata, K.; Nagami, K. The dependence of silicon etching on an applied DC potential in CF4  +  O2 plasmas. J. Electrochem. Soc. 1985, 132, 206–211. [Google Scholar] [CrossRef]
  31. Nagy, A.G. High-speed reactive ion etching of silicon by the application of a confined DC bias. Solid State Technol. 1983, 26, 173–178. [Google Scholar]
  32. Brčka, J.; Harman, R.; Blackburn, A. The selectivity of poly Si and SiO2 etching using a negative dc biasing of powered electrode. Vacuum 1986, 36, 531–533. [Google Scholar] [CrossRef]
  33. Bruce, R.H.; Reinberg, A.R. Profile control with D-C bias in plasma etching. J. Electrochem. Soc. 1982, 129, 393–396. [Google Scholar] [CrossRef]
  34. Giapis, K.P.; Sadeghi, N.; Margot, J.; Gottscho, R.A.; Lee, T.C.J. Limits to ion energy control in high density glow discharges: Measurement of absolute metastable ion concentrations. J. Appl. Phys. 1993, 73, 7188–7194. [Google Scholar] [CrossRef]
  35. Lazar, M.; Vang, H.; Brosselard, P.; Raynaud, C.; Cremillieu, P.; Leclercq, J.-L.; Descamps, A.; Scharnholz, S.; Planson, D. Deep SiC etching with RIE. Superlattices Microstruct. 2006, 40, 388–392. [Google Scholar] [CrossRef]
  36. Gritsenko, V.A.; Kwok, R.W.M.; Wong, H.; Xu, J.B. Short-range order in non-stoichiometric amorphous silicon oxynitride and silicon-rich nitride. J. Non-Cryst. Solids 2002, 297, 96–101. [Google Scholar] [CrossRef]
  37. Wong, C.K.; Wong, H.; Filip, V.; Chung, P.S. Bonding structure of silicon oxynitride grown by plasma-enhanced chemical vapor deposition. Jpn. J. Appl. Phys. 2007, 46, 3202–3205. [Google Scholar] [CrossRef]
  38. Kastenmeier, B.E.E.; Matsuo, P.J.; Beulens, J.J.; Oehrlein, G.S. Chemical dry etching of silicon nitride and silicon dioxide using CF4/O2/N2 gas mixtures. J. Vac. Sci. Technol. A 1996, 14, 2802–2813. [Google Scholar] [CrossRef]
  39. Blain, M.G.; Meisenheimer, T.L.; Stevens, J.E. Role of nitrogen in the downstream etching of silicon nitride. J. Vac. Sci. Technol. A 1996, 14, 2151–2157. [Google Scholar] [CrossRef]
  40. Kastenmeier, B.E.E.; Matsuo, P.J.; Oehrlein, G.S. Highly selective etching of silicon nitride over silicon and silicon dioxide. J. Vac. Sci. Technol. A 1999, 17, 3179–3184. [Google Scholar] [CrossRef]
  41. Kastenmeier, B.E.E.; Matsuo, P.J.; Oehrlein, G.S.; Ellefson, R.E.; Frees, L.C. Surface etching mechanism of silicon nitride in fluorine and nitric oxide containing plasmas. J. Vac. Sci. Technol. A 2001, 19, 25–30. [Google Scholar] [CrossRef]
  42. Reese, R.M.; Dibeler, V.H. Ionization and dissociation of nitrogen trifluoride by electron impact. J. Chem. Phys. 1956, 24, 1175–1177. [Google Scholar] [CrossRef]
  43. Thynne, J.C.J. Negative ion formation by electron impact in nitrogen trifluoride. J. Phys. Chem. 1969, 63, 1586–1588. [Google Scholar] [CrossRef]
  44. Lowe, H.D.; Haruhiro, H.; Goto, H.H.; Ohmi, T. Control of ion energy and flux in a dual radio frequency excitation magnetron sputtering discharge. J. Vac. Sci. Technol. A 1991, 9, 3090–3099. [Google Scholar] [CrossRef]
  45. Lee, J.W.; Donohue, J.F.; Mackenzie, K.D.; Westerman, R.; Johnson, D.; Pearton, S.J. Mechanism of high density plasma processes for ion-driven etching of materials. Solid-State Electron. 1999, 43, 1769–1775. [Google Scholar] [CrossRef]
  46. Mayer, T.M.; Barker, R.A.; Whitman, L.J. Investigation of plasma etching mechanisms using beams of reactive gas ions. J. Vac. Sci. Technol. 1981, 18, 349–352. [Google Scholar] [CrossRef]
  47. Matsui, S.; Yamato, T.; Aritome, H.; Namba, S. Fabrication of SiO2 blazed holographic gratings by reactive ion-etching. Jpn. J. Appl. Phys. 1980, 19, 126–128. [Google Scholar] [CrossRef]
  48. Okano, H.; Horiike, Y. Plasma Processing; Frieser, R.G., Mogab, C.J., Eds.; Softbound Proceedings Series; Electrochemical Society: Pennington, NJ, USA, 1981; p. 199. [Google Scholar]
  49. Nordheden, K.J.; Verdeyen, J.T. The effect of oxygen on the etch rate of NF3 discharges. J. Electrochem. Soc. 1986, 133, 2168–2171. [Google Scholar] [CrossRef]
  50. Konuma, M.; Bauser, E. Mass and energy analysis of gaseous species in NF3 plasma during silicon reactive ion etching. J. Appl. Phys. 1993, 74, 62–67. [Google Scholar] [CrossRef]
  51. Kastenmeier, B.E.E.; Matsuo, P.J.; Oehrlein, G.S.; Langan, J.G. Remote plasma etching of silicon nitride and silicon dioxide using NF3/O2 gas mixtures. J. Vac. Sci. Technol. A 1998, 16, 2047–2056. [Google Scholar] [CrossRef]
  52. Mogab, C.J.; Adams, A.C.; Flamm, D.L. Plasma etching of Si and SiO2-the effect of oxygen additions to CF4 plasmas. J. Appl. Phys. 1978, 49, 3796–3803. [Google Scholar] [CrossRef]
Figure 1. Schematic of the implemented RIE.
Figure 1. Schematic of the implemented RIE.
Sensors 24 03089 g001
Figure 2. Etching characteristics of Si3N4 and SiO2 at a reactor pressure of 80 mTorr and a gas mixture of O2, NF3, and Ar with gas flow rates of 9, 89, and 2 sccm, respectively. At an applied bias power of 0 W: (a) etch rates of Si3N4 and SiO2 as a function of source power and (b) Si3N4-to-SiO2 selectivity calculated using the etch rate ratio. At an applied source power of 300 W: (c) etch rates of Si3N4 and SiO2 as a function of bias power and (d) Si3N4-to-SiO2 selectivity.
Figure 2. Etching characteristics of Si3N4 and SiO2 at a reactor pressure of 80 mTorr and a gas mixture of O2, NF3, and Ar with gas flow rates of 9, 89, and 2 sccm, respectively. At an applied bias power of 0 W: (a) etch rates of Si3N4 and SiO2 as a function of source power and (b) Si3N4-to-SiO2 selectivity calculated using the etch rate ratio. At an applied source power of 300 W: (c) etch rates of Si3N4 and SiO2 as a function of bias power and (d) Si3N4-to-SiO2 selectivity.
Sensors 24 03089 g002
Figure 3. Si (2p) XPS spectra of the Si3N4 surface after etching at various powers: (a) applied bias power = 0 W and source power = 30–500 W; (b) applied source power = 300 W and bias power = 0–300 W. The measurements were performed at a pressure of 80 mTorr and a gas mixture of O2, NF3, and Ar with gas flow rates of 9, 89, and 2 sccm, respectively; (c) XPS spectra of the blank Si3N4 or SiO2 wafer sample.
Figure 3. Si (2p) XPS spectra of the Si3N4 surface after etching at various powers: (a) applied bias power = 0 W and source power = 30–500 W; (b) applied source power = 300 W and bias power = 0–300 W. The measurements were performed at a pressure of 80 mTorr and a gas mixture of O2, NF3, and Ar with gas flow rates of 9, 89, and 2 sccm, respectively; (c) XPS spectra of the blank Si3N4 or SiO2 wafer sample.
Sensors 24 03089 g003
Figure 4. Dependence of the mass spectrometric signal intensities of O, F, NO, and NF2 on power: (a) applied bias power = 0 W and source power = 30–300 W; (b) applied source power = 100 W and bias power = 0–80 W. The measurements were performed at a pressure of 80 mTorr and a gas mixture of O2, NF3, and Ar with gas flow rates of 9, 89, and 2 sccm, respectively. The electron temperature and electron density of the mixed gas plasma of NF3 and O2 are represented as a function of the power: (c) applied bias power = 0 W and source power = 30–300 W; (d) applied source power = 100 W and bias power = 0–80 W. The measurements were performed at a pressure of 80 mTorr and a gas mixture of O2, NF3, and Ar with gas flow rates of 9, 89, and 2 sccm, respectively.
Figure 4. Dependence of the mass spectrometric signal intensities of O, F, NO, and NF2 on power: (a) applied bias power = 0 W and source power = 30–300 W; (b) applied source power = 100 W and bias power = 0–80 W. The measurements were performed at a pressure of 80 mTorr and a gas mixture of O2, NF3, and Ar with gas flow rates of 9, 89, and 2 sccm, respectively. The electron temperature and electron density of the mixed gas plasma of NF3 and O2 are represented as a function of the power: (c) applied bias power = 0 W and source power = 30–300 W; (d) applied source power = 100 W and bias power = 0–80 W. The measurements were performed at a pressure of 80 mTorr and a gas mixture of O2, NF3, and Ar with gas flow rates of 9, 89, and 2 sccm, respectively.
Sensors 24 03089 g004
Figure 5. Etch characteristics of Si3N4 and SiO2 at a pressure, applied bias power, and applied source power of 80 mTorr, 0 W, and 30 W, respectively. (a) Etch rates of Si3N4 and SiO2 as a function of the O2/NF3 ratio. (b) Si3N4-to-SiO2 selectivity calculated using the etch rate ratio.
Figure 5. Etch characteristics of Si3N4 and SiO2 at a pressure, applied bias power, and applied source power of 80 mTorr, 0 W, and 30 W, respectively. (a) Etch rates of Si3N4 and SiO2 as a function of the O2/NF3 ratio. (b) Si3N4-to-SiO2 selectivity calculated using the etch rate ratio.
Sensors 24 03089 g005
Figure 6. Si (2p) XPS spectra of (a) Si3N4 and (b) SiO2 surfaces after etching at an applied bias power of 0 W, an applied source power of 30 W, a pressure of 80 mTorr, and various gas ratios of O2/NF3. The chemical shift is observed from 101 eV in Si3N4 to 102.7 eV in SiOxNy. (c) O (1s) and N (1s) photoelectron line intensities as a function of O2/NF3 after etching the silicon nitride surface at the aforementioned parameters.
Figure 6. Si (2p) XPS spectra of (a) Si3N4 and (b) SiO2 surfaces after etching at an applied bias power of 0 W, an applied source power of 30 W, a pressure of 80 mTorr, and various gas ratios of O2/NF3. The chemical shift is observed from 101 eV in Si3N4 to 102.7 eV in SiOxNy. (c) O (1s) and N (1s) photoelectron line intensities as a function of O2/NF3 after etching the silicon nitride surface at the aforementioned parameters.
Sensors 24 03089 g006
Figure 7. (a) Dependence of mass spectrometric signal intensities of O, F, NO, and NF2 on the ratio of O2/NF3. The measurements were performed at a pressure, applied bias power, and applied source power of 80 mTorr, 0 W, and 30 W, respectively. (b) Dependence of electron temperature and electron density on the gas ratio of O2/NF3 at the aforementioned parameters.
Figure 7. (a) Dependence of mass spectrometric signal intensities of O, F, NO, and NF2 on the ratio of O2/NF3. The measurements were performed at a pressure, applied bias power, and applied source power of 80 mTorr, 0 W, and 30 W, respectively. (b) Dependence of electron temperature and electron density on the gas ratio of O2/NF3 at the aforementioned parameters.
Sensors 24 03089 g007
Figure 8. The scheme of the possible reaction occurring on the Si3N4 surface in the etch process.
Figure 8. The scheme of the possible reaction occurring on the Si3N4 surface in the etch process.
Sensors 24 03089 g008
Table 1. Selectivity of Si3N4 to SiO2 in some published studies.
Table 1. Selectivity of Si3N4 to SiO2 in some published studies.
Gas MixtureReactorSi3N4
Selectivity
Ref.
CHF3Radio-frequency inductively coupled plasma1[6]
C2F60.8
C3F60.5
C3F6, H20.2
CHF3Radio-frequency inductively coupled plasma1.3[20]
C4F80.4
NF3, C2H4Magnetically confined inductively coupled plasma0.75[21]
C5F8, O2Capacitively coupled plasma10[22]
SF6, H2Magnetic neutral loop discharge plasma2.4[23]
CH2FCH2F, O2, Ar3.8
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Tung, N.H.; Lee, H.; Dinh, D.K.; Kim, D.-W.; Lee, J.Y.; Eom, G.W.; Kim, H.-U.; Kang, W.S. Enhancing Si3N4 Selectivity over SiO2 in Low-RF Power NF3–O2 Reactive Ion Etching: The Effect of NO Surface Reaction. Sensors 2024, 24, 3089. https://doi.org/10.3390/s24103089

AMA Style

Tung NH, Lee H, Dinh DK, Kim D-W, Lee JY, Eom GW, Kim H-U, Kang WS. Enhancing Si3N4 Selectivity over SiO2 in Low-RF Power NF3–O2 Reactive Ion Etching: The Effect of NO Surface Reaction. Sensors. 2024; 24(10):3089. https://doi.org/10.3390/s24103089

Chicago/Turabian Style

Tung, Nguyen Hoang, Heesoo Lee, Duy Khoe Dinh, Dae-Woong Kim, Jin Young Lee, Geon Woong Eom, Hyeong-U Kim, and Woo Seok Kang. 2024. "Enhancing Si3N4 Selectivity over SiO2 in Low-RF Power NF3–O2 Reactive Ion Etching: The Effect of NO Surface Reaction" Sensors 24, no. 10: 3089. https://doi.org/10.3390/s24103089

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop