Next Article in Journal
Coherently Radiating Periodic Structures to Reduce the Number of Phase Shifters in a 2-D Phased Array
Previous Article in Journal
On the Use of Ridge Gap Waveguide Technology for the Design of Transverse Stub Resonant Antenna Arrays
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Communication

A 0.3 V PNN Based 10T SRAM with Pulse Control Based Read-Assist and Write Data-Aware Schemes for Low Power Applications

1
Department of Electronic Engineering, National Yunlin University of Science and Technology, Douliu City 64002, Taiwan
2
Department of Information and Communication Engineering, Chaoyang University of Technology, Wufeng District, Taichung City 413310, Taiwan
*
Author to whom correspondence should be addressed.
Sensors 2021, 21(19), 6591; https://doi.org/10.3390/s21196591
Submission received: 13 September 2021 / Revised: 28 September 2021 / Accepted: 29 September 2021 / Published: 2 October 2021
(This article belongs to the Section Internet of Things)

Abstract

:
An innovative and stable PNN based 10-transistor (10T) static random-access memory (SRAM) architecture has been designed for low-power bit-cell operation and sub-threshold voltage applications. The proposed design belongs to the following features: (a) pulse control based read-assist circuit offers a dynamic read decoupling approach for eliminating the read interference; (b) we have utilized the write data-aware techniques to cut off the pull-down path; and (c) additional write current has enhanced the write capability during the operation. The proposed design not only solves the half-selected problems and increases the read static noise margin (RSNM) but also provides low leakage power performance. The designed architecture of 1-Kb SRAM macros (32 rows × 32 columns) has been implemented based on the TSMC-40 nm GP CMOS process technology. At 300 mV supply voltage and 10 MHz operating frequency, the read and write power consumption is 4.15 μW and 3.82 μW, while the average energy consumption is only 0.39 pJ.

1. Introduction

Mostly, the SRAM occupies a large amount of layout area in the system on chip (SoC) that affects the system’s integrity [1,2]. The SoC may classify into two categories: high-speed and low-power consumption. Low voltage operation and long-term service are becoming more challenging for IoT and portable applications [3,4]. Therefore, developed a stable [5] SRAM architecture for low voltage and sub-threshold operation. The difficulties are manufacturing variation and impair circuit’s performance while decreasing the voltage. Traditional 6T SRAM [6] is the most basic configuration and proposed local bit-line 6T [7] achieved low power operation, but there have limitations for half-selected operation and read error. A read-decoupled (RD) 8T SRAM was proposed [8] to avoid the read error. This architecture has much better read static noise margin (RSNM) performance during the single-ended read operation mode. Furthermore, the RD8T design shows the half selected causing pseudo read while write operation.
Many SRAM bit-cell design [9,10,11,12,13,14,15,16,17,18,19,20,21,22] have been presented to enhance circuit stability for robust low voltage/power operation. In [9,10,11,12,13,14,15], are provided stacked transistors to solve the problem of half-selected read error, but they bring worse write capacity. In order to overcome this problem, a word line boost circuit technology to improve the write capability are presented in [16,17]. However, the problem of data contention (cross-coupled inverter structure) still exists. Therefore, these designs need additional write assist techniques to improve write margin [18,19]. Another solution is to cut off the cross-coupled inverter’s feedback path to improve the write capability, but the half-selected write stability still affected [12,13]. In [21], uses a single-ended write structure to reduce circuit complexity, but each column needs to use an extra read feedback circuit to enable it to operate stably in the subthreshold region, but the number of transistors will still be as high as 12.
In summary, the traditional 10T (or higher transistor count design) bit-cell provides better circuit stability, but usually at the cost of their write-ability and limit their work VDDmin. Therefore, write auxiliary circuits are usually required, such as a VDD/GND boost circuit scheme, which leads to the need for additional power overhead. Hence, for robust subthreshold operation, we proposed a SRAM bit-cell design and employed pulse control read-assist circuit, as well as write data-aware schemes to cut off the pull-down channel for improving the write ability and eliminating read error without any boost circuit when keeping transistor-count in 10. The main contributions of this work are summarized as follows:
The proposed 10T SRAM design solves the half-selected problems;
The read decoupling technique increase the read static noise margin;
The write data-aware techniques cut off the pull-down path and achieved low leakage power.

2. Proposed 10T SRAM Architecture

2.1. Memory Cell Design with 10T

Figure 1 shows the proposed 10T SRAM bit-cell design. The cross-coupled latch consists of two PMOSs (PUL, PUR) and four NMOSs (SWL, PDL, SWR, PDR). Therefore, the proposed design has been named as PNN-10T SRAM cell. In this design, both CL and CR signals pulse control read-assist and write data-aware schemes control SWL and SWR NMOSs to improve the read and write speed performance. The write word line (WWL) also controls both PGL2 and PGR2 to write the input data. Additionally, the read word line (RWL) is used to control PGL1 and PGR1 to read the data from latch or write the data from bit lines (BL, BLB).
Figure 2 shows the control signals CL and CR pulse control read-assist and write data-aware schemes operation. When write EN is set to ‘0’, the CL and CR control signals are set to ‘1’, allowing the cell to keep the data in hold mode. The write EN remains ‘0’ during the read operation, while the pulse generator (PG) controls CL and CR, with the pulse being cut off by a pull-down (SWL/SWR) transistor. This can isolate Q/QB from bit lines BL/BLB for a short time. Finally, the write_EN variable is set to ‘1’, so that the CL and CR values are determined by external data (Data and Datab) in the write operation.
Table 1 shows the truth table of the proposed 10T SRAM bit-cell for standby state signal settings while read, write operation mode, and the control signal circuit is used to complete the switching of each signal in the cell under different situations.

2.2. Write Mode Operatio

The write mode operation of the proposed 10T SRAM cell is shown in Figure 3. The RWL and WWL set the value of ‘1’, whereas the BL and BLB write data. The write data-aware methods shut off the pull-down route (CL/CR) on one side of the latch for data sensing. To write data ‘0’, for example, the discharge route node Q will pass via PGL2 and PGL1 on its way to BL. At the same time, the left control signal (CL) will set ‘1’ so that SWL can continue to start and provide additional write current from SWL to PGL1. The cell flips quickly, and the right control signal (CR) turns off the SWR, thus cutting off the latch pull-down path.

2.3. Read Mode Operation

The cross-coupled latch discharges the proposed 10T SRAM cell by the following arrows direction, as shown in Figure 4. The read path links PGL1/PGR1 and PDL/PDR to ground. During the read operation, the pulse control read-assist technology provides a dynamic read decoupling method with PG circuit short pulse signal (CL/CR). If the stored data Q = ‘0’ and QB = ‘1’, then the path is pull-up to the cross-coupled latch because the PUR is active, and the CL/CR will be turn off for a short time. The output negative voltage connects the parasitic capacitance Cgs, and SWL, SWR is off, thus helping the proposed design is unaffected by the pull-down path. Figure 5 depicts the post-layout simulation waveforms of the proposed design.
Figure 6 displayed the RSNM simulation result, and the authors carried out two cases results of RSNM at 0.3 V operating voltage to further validate their findings. The red line represents the overlapping of the two cases. The first case does not turn off SWL and SWR (CR and CL = ‘1’). Considering the operation, node QB becomes affected, and its RSNM is only 13.17 mV (represented by blue dotted line), which means it is easy to flip during the sub-threshold voltage operation. Additionally, the second case is when the read operation is in progress, SWL and SWR (CR and CL = ‘0’) temporarily turn off, node QB is enabled to be isolated from BLB and reduces error. The RSNM rises to 45.81 mV, this dynamic reading and decoupling method is a very important circuit scheme for the proposed structure.

2.4. Write Half-Selected

Figure 7 depicts the row and column half-selected cell writes operation for the proposed design. The signal RWL0 becomes active in the write operation, and the short pulse removes the erroneous read error by performing a pseudo-read of the column half-selected cell. The short pulse consumes very little power through the Cgs of the transistor. In addition, negative voltage compensation is also produced, which improves the stability of cells. However, RWL1 is inactive in the row half-selected cell. The storage nodes Q and QB become separated that lowering BL and BLB errors. The write data-aware methods cut off the pull-down path while executing a write operation, which affects the stability of the row and half-selected cell. In addition, the stored data are the same, which cut off the drop-down path that keeps the state cell storing ‘0’. Fortunately, the WWL0 initiates the drop-down route (red dotted line), which retains the state cell in the half-selected state. The proposed design has the better stability whether it is a half-selected cell in a row or a column mode.
Figure 8 shows 3000 times Monte Carlo simulation waveforms for the column half- selected cell at 0.3 V supply voltage. When WWL is active, the selected cell continues to flip successfully. The node Q of the selected cell is pushed down to 0 V, providing a strong discharge path for the unselected cell.

3. Layout Design and Simulation Results

Figure 9 shows the layout design of the proposed 10T SRAM architecture implemented on TSMC 40 nmGP using the CMOS process technology. The layout layer has been used from diffusion to metal 3, and the size of the layout area is 3.28 μm2 (1.2 μm × 2.73 μm).
Figure 10 compares different bit-cells write ‘0’ current at different voltages. For example, the 6T and RD8T [8] do not have stack pass gate transistors, so both maintain the same write current throughout the write operation. However, both are affected by the half-selected error and unable to operate at low voltages. Alternatively, the write data-aware techniques have been included in the proposed design to block the pull-down path for write ‘1’ in advance, and the switch becomes active for write ‘0’ at the same time. This technique adds a path from SWL, PGL1 to BL. The write data-aware schemes make better and stable write ability for the proposed design.
Figure 11 shows the comparison of write margins (WM) under different supply voltages. FD10T design [9] records the results of with or without VDD boost circuit scheme. Because the proposed design adopts the write data-aware technique, it not only provides an additional current path when writing 0, but also successfully cuts off the pull-down path (writing 1). Post-layout simulation results show that, compared with FD10T [9], the proposed design can increase the write capability by more than 2 times without any boost circuit assistance.
The RSNM of the proposed design read decoupling technique, which isolates the Q/QB node from pulses for a short period, is shown in Figure 12. By discharging the stacked NMOS, the read path substantially enhances the RSNM. The RSNM curve of the proposed design delivers low voltage and small attenuation. When the working voltage is increased, the swing of all nodes increases as well, which reflects noise tolerance.
In the power consumption performance, we recorded the power consumption of these designs at different supply voltages and different operating frequencies. Figure 13 shows the power consumption of the each SRAM bit-cell design at supply voltages range from 0.3 to 0.6 V. As we can see, the proposed SRAM 10T bit-cell has similar power consumption to RD8T [8] design and saves over 30% compared to FD10T [9]. Figure 14 also shows the power performance of these designs at different frequencies (operation voltage is set at 0.3 V). The proposed design is more advantageous at low frequencies (in this case, the leakage power is the dominant factor) and can greatly reduce power consumption when compared with other designs. When the operation frequency is 10 MHz, the power consumption of the proposed design is only 4.29 nW.
Finally, Figure 15 shows these SRAM bit-cell leakage power consumption comparison at different supply voltages. Due to the stacked PG circuit, the proposed design has overlying pull-down paths in the cross-coupled latch to reduce leakage current. As a results, the proposed design has the lowest leakage current power consumption.

4. Chip Implementation

Figure 16 shows the implemented 1 kb SRAM macros (32 rows × 32 columns) layouts. The layout area is 5401.84 μm2 (86.25 μm × 62.63 μm). The composition of this architecture is cut into 4 banks, each bank is 256 bits (32 rows * 8 columns), every time read and write operation is required, one of the banks will be selected, and the 8 bits write or 8 bits readout.
The post-layout simulation waveforms of test chip is shown in Figure 17 at 300 mV operation voltage. This test parameter confirms that by using the pulse control read-assist and write data-aware schemes our design can successfully reduce the read disturbance to operate at the sub-threshold operation.
Table 2 lists key features of several subthreshold SRAM designs for comparison. The traditional 6T SRAM design is also included. Due to the above-mentioned circuit problems, without the assistance of other auxiliary circuits, his operating voltage cannot be lower than 0.6 V. Since the proposed design 10T SRAM bit-cell design does not require any boost circuit assistance and uses stacked transistors circuit structure, our design not only has a better chip area (12-transistor vs. 10-transistor) but also reduces energy consumption by 79.58% when compared with PCA12T design [10]. Thus, our 10T SRAM bit-cell is the most efficient design for low power/voltage application, i.e., mobile devices and bio implants.

5. Conclusions

This paper presents a novel 10T bit-cell design for robust low voltage and power operation. The proposed design uses a bit-interleaving SRAM array, allowing the column half-selected cell to acquire the discharge channel from floating during the write operation. The proposed design has robust performances in read, write, and hold operation at sub-threshold voltage. The simulation results of 40 nm 1-kb SRAM at 0.3 V/10 MHz demonstrate that the power consumption for read and write operation is just 4.15 μW and 3.82 μW, respectively. Due to a stacked pull-down circuit scheme has also been used to reduce leakage current. The retention power of 3.64 μW can be achieved at 0.3 V.

Author Contributions

C.-M.T., M.-Y.T. and J.-F.L. proposed the idea and method; C.-M.T. and M.-Y.T. performed the simulations and experiments; S.-C.H. and M.-H.S. analyzed the data; S.M.S.M. and J.-F.L. wrote the manuscript; M.-H.S. and J.-F.L. reviewed the manuscript. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the Ministry of Science & Technology, Taiwan under contract No. 109-2221-E-324-028, No. 110-2221-E-324-019, No. 110-2221-E-224-052-MY2 and No. 110-2813-C-324-019-E.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

The data presented in this study are available on request from the corresponding author.

Acknowledgments

The authors would like to acknowledge for technical support in simulation by Taiwan Semiconductor Research Institute, EDA tool support for IC implementation.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Ahmad, S.; Gupta, M.K.; Alam, N.; Hasan, M. Single-Ended Schmitt-Trigger-Based Robust Low-Power SRAM Cell. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2016, 24, 2634–2642. [Google Scholar] [CrossRef]
  2. Yadav, N.; Shah, A.P.; Vishvakarma, S.K. Stable, Reliable, and Bit-Interleaving 12T SRAM for Space Applications: A Device Circuit Co-Design. IEEE Trans. Semiconduct. Manuf. 2017, 30, 276–284. [Google Scholar] [CrossRef]
  3. Choi, W.; Park, J. A charge-recycling assist technique for reliable and low power SRAM design. IEEE Trans. Circuits Syst. I Regul. Papers 2016, 63, 1164–1175. [Google Scholar] [CrossRef]
  4. Zhang, K.; Bhattacharya, U.; Chen, Z.; Hamzaoglu, F.; Murray, D.; Vallepalli, N.; Wang, Y.; Zheng, B.; Bohr, M. A 3-GHz 70-Mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply. IEEE J. Solid-State Circuits 2006, 41, 146–151. [Google Scholar] [CrossRef]
  5. Kushwah, C.B.; Vishvakarma, S.K. A Single-Ended with Dynamic Feedback Control 8T Subthreshold SRAM Cell. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2016, 24, 373–377. [Google Scholar] [CrossRef]
  6. Chuang, C.T.; Mukhopadhyay, S.; Kim, J.-J.; Kim, K.; Rao, R. High-performance SRAM in nanoscale CMOS: Design challenges and techniques. In Proceedings of the 2007 IEEE International Workshop on Memory Technology, Design and Testing, Taipei, Taiwan, 3–5 December 2007; pp. 4–12. [Google Scholar]
  7. Sheu, M.H.; Morsalin, S.M.S.; Tsai, C.M.; Yang, C.J.; Hsia, S.C.; Hsueh, Y.H.; Lin, J.F.; Chang, C.Y. Stable Local Bit-Line 6 T SRAM Architecture Design for Low-Voltage Operation and Access Enhancement. Electronics 2021, 10, 685. [Google Scholar] [CrossRef]
  8. Chang, L.; Montoye, R.K.; Nakamura, Y.; Batson, K.A.; Eickemeyer, R.J.; Dennard, R.H.; Haensch, W.; Jamsek, D. An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J. Solid-State Circuits 2008, 43, 956–963. [Google Scholar] [CrossRef]
  9. Chang, I.J.; Kim, J.J.; Park, S.P.; Roy, K. A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE J. Solid-State Circuits 2009, 44, 650–658. [Google Scholar] [CrossRef] [Green Version]
  10. Chiu, Y.W.; Hu, Y.H. 40 nm bit-interleaving 12T subthreshold SRAM with data-aware write-assist. IEEE Trans. Circuits Syst. I Regul. Papers 2014, 61, 2578–2585. [Google Scholar] [CrossRef]
  11. Chien, Y.C.; Wang, J.S. A 0.2 V 32-Kb 10T SRAM with 41 nW Standby Power for IoT Applications. IEEE Trans. Circuits Syst. I Regul. Papers 2018, 65, 2443–2454. [Google Scholar] [CrossRef]
  12. Pal, S.; Bose, S.; Ki, W.; Islam, A. Half-Select-Free Low-Power Dynamic Loop-Cutting Write Assist SRAM Cell for Space Applications. IEEE Trans. Electron. Dev. 2020, 67, 80–89. [Google Scholar] [CrossRef]
  13. Chang, M.H.; Chiu, Y.T.; Hwang, W. Design and Iso-Area Vmin Analysis of 9T Subthreshold SRAM With Bit-Interleaving Scheme in 65-nm CMOS. IEEE Trans. Circuits Syst. II Express Br. 2012, 59, 429–433. [Google Scholar] [CrossRef] [Green Version]
  14. Tu, M.H.; Lin, J.-Y.; Tsai, M.-C.; Lu, C.-Y.; Lin, Y.-J.; Wang, M.-H.; Huang, H.-S.; Lee, K.-D.; Shih, W.-C.; Jou, S.-J.; et al. A single-ended disturb-free 9T subthreshold SRAM with cross-point data-aware write word-line structure, negative bit-line, and adaptive read operation timing tracing. IEEE J. Solid-State Circuits 2012, 47, 1469–1482. [Google Scholar] [CrossRef] [Green Version]
  15. Pal, S.; Bose, S.; Ki, W.H. A highly stable reliable SRAM cell design for low power applications. Microelectron. Reliab. 2020, 105, 113503. [Google Scholar] [CrossRef]
  16. Song, T.; Jung, J.; Rim, W.; Kim, H.; Kim, Y.; Park, C.; Do, J.; Park, S.; Cho, S.; Jung, H.; et al. A 7nm FinFET SRAM using EUV lithography with dual write-driver-assist circuitry for low-voltage applications. In Proceedings of the 2018 IEEE International Solid-State Circuits Conference—(ISSCC), San Francisco, CA, USA, 11–15 February 2018; pp. 198–200. [Google Scholar]
  17. Chang, T.Y.J.; Chen, Y.-H.; Chan, W.-M.; Cheng, H.; Wang, P.-S.; Lin, Y.; Fujiwara, H.; Lee, R.; Liao, H.-J.; Wang, P.-W.; et al. A 5-nm 135-Mb SRAM in EUV and High-Mobility Channel FinFET Technology With Metal Coupling and Charge-Sharing Write-Assist Circuitry Schemes for High-Density and Low-V MIN Applications. IEEE J. Solid-State Circuits 2020, 56, 179–187. [Google Scholar] [CrossRef]
  18. Guo, Z.; Wiedemer, J.; Kim, Y.; Ramamoorthy, P.S.; Sathyaprasad, P.B.; Shridharan, S.; Kim, D.; Karl, E. 10nm SRAM Design using Gate-Modulated Self-Collapse Write Assist Enabling 175mV VMIN Reduction with Negligible Active Power Overhead. IEEE Solid-State Circuits Lett. 2021, 4, 6–9. [Google Scholar] [CrossRef]
  19. Cho, K.; Park, J.; Oh, T.W.; Jung, S.O. One-Sided Schmitt-Trigger-Based 9T SRAM Cell for Near-Threshold Operation. IEEE Trans. Circuits Syst. I Regul. Papers 2020, 67, 1551–1561. [Google Scholar] [CrossRef]
  20. Lu, C.Y.; Chuang, C.-T.; Jou, S.-J.; Tu, M.-H.; Wu, Y.-P.; Huang, C.-P.; Kan, P.-S.; Huang, H.-S.; Lee, K.-D.; Kao, Y.-S. A 0.325 V, 600-kHz, 40-nm 72-kb 9T subthreshold SRAM with aligned boosted write wordline and negative write bitline write-assist. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2014, 23, 958–962. [Google Scholar] [CrossRef]
  21. Sinangil, M.E.; Lin, Y.T.; Liao, H.J.; Chang, J. A 290-mV, 7-nm Ultra-Low-Voltage One-Port SRAM Compiler Design Using a 12T Write Contention and Read Upset Free Bit-Cell. IEEE J. Solid-State Circuits 2019, 54, 1152–1160. [Google Scholar] [CrossRef]
  22. Sinangil, M.E.; Mair, H.; Chandrakasan, A.P. A 28nm high-density 6T SRAM with optimized peripheral-assist circuits for operation down to 0.6V. In Proceedings of the 2011 IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 20–24 February 2011; pp. 260–262. [Google Scholar]
Figure 1. The proposed 10T SRAM bit-cell design.
Figure 1. The proposed 10T SRAM bit-cell design.
Sensors 21 06591 g001
Figure 2. Pulse control read-assist and write data-aware schemes.
Figure 2. Pulse control read-assist and write data-aware schemes.
Sensors 21 06591 g002
Figure 3. The write operation of proposed 10T SRAM cell.
Figure 3. The write operation of proposed 10T SRAM cell.
Sensors 21 06591 g003
Figure 4. The read operation of proposed 10T cell.
Figure 4. The read operation of proposed 10T cell.
Sensors 21 06591 g004
Figure 5. Time-domain waveform diagram of proposed 10T cell.
Figure 5. Time-domain waveform diagram of proposed 10T cell.
Sensors 21 06591 g005
Figure 6. RSNM of proposed 10T cell.
Figure 6. RSNM of proposed 10T cell.
Sensors 21 06591 g006
Figure 7. Write half-selected analysis of the proposed design.
Figure 7. Write half-selected analysis of the proposed design.
Sensors 21 06591 g007
Figure 8. The 3000 times Monte Carlo simulation waveforms for column half-selected condition.
Figure 8. The 3000 times Monte Carlo simulation waveforms for column half-selected condition.
Sensors 21 06591 g008
Figure 9. Layout schematic of the proposed 10T design.
Figure 9. Layout schematic of the proposed 10T design.
Sensors 21 06591 g009
Figure 10. Bit-cell write current comparison at different voltages.
Figure 10. Bit-cell write current comparison at different voltages.
Sensors 21 06591 g010
Figure 11. Write margin of bit-cell at different voltages.
Figure 11. Write margin of bit-cell at different voltages.
Sensors 21 06591 g011
Figure 12. RSNM of bit-cell operates at different voltages.
Figure 12. RSNM of bit-cell operates at different voltages.
Sensors 21 06591 g012
Figure 13. Power consumption of bit-cell at different supply voltages.
Figure 13. Power consumption of bit-cell at different supply voltages.
Sensors 21 06591 g013
Figure 14. Power consumption of bit-cell at different operation frequency.
Figure 14. Power consumption of bit-cell at different operation frequency.
Sensors 21 06591 g014
Figure 15. Comparison of bit-cell leakage power consumption.
Figure 15. Comparison of bit-cell leakage power consumption.
Sensors 21 06591 g015
Figure 16. The 1 kb-array layout of the proposed design.
Figure 16. The 1 kb-array layout of the proposed design.
Sensors 21 06591 g016
Figure 17. Post-layout simulation waveforms @300mV/TT corner.
Figure 17. Post-layout simulation waveforms @300mV/TT corner.
Sensors 21 06591 g017
Table 1. Proposed 10T SRAM cell truth table performance.
Table 1. Proposed 10T SRAM cell truth table performance.
HoldReadWrite-0Write-1
Write_EN0011
BL/BLB1/11/1 (floating)0/11/0
RWL0111
WWL0011
CL/CR1/1Pulse1/00/1
Table 2. The SRAM characteristics comparison table.
Table 2. The SRAM characteristics comparison table.
Characteristics6T [22]FD10T [9]DFL10T [11]PCA12T [10]Proposed10T
Process28 nm90 nm28 nm40 nmGP40 nmGP
Assist SchemeOptimized
Peripheral
WordLine
boost
No
necessary
DAPCPCR + WDA
VDDMIN0.6 V160 mV250 mV350 mV300 mV
Capacity128-kb32-kb32-kb4-kb1-kb
Frequency
@VDDMIN
20 MHz500 Hz30 kHz11.5 MHz10 MHz
Read Power (μW)28000.1230.08822.04.15
Write Power (μW)0.0873.82
Energy/Access (pJ)1402462.921.910.39
Leakage Power
(μW)
N/A0.36 @ 6 °C0.05 *117.383.64
*1:The large negative bias VSG of power gating.
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Sheu, M.-H.; Tsai, C.-M.; Tsai, M.-Y.; Hsia, S.-C.; Morsalin, S.M.S.; Lin, J.-F. A 0.3 V PNN Based 10T SRAM with Pulse Control Based Read-Assist and Write Data-Aware Schemes for Low Power Applications. Sensors 2021, 21, 6591. https://doi.org/10.3390/s21196591

AMA Style

Sheu M-H, Tsai C-M, Tsai M-Y, Hsia S-C, Morsalin SMS, Lin J-F. A 0.3 V PNN Based 10T SRAM with Pulse Control Based Read-Assist and Write Data-Aware Schemes for Low Power Applications. Sensors. 2021; 21(19):6591. https://doi.org/10.3390/s21196591

Chicago/Turabian Style

Sheu, Ming-Hwa, Chang-Ming Tsai, Ming-Yan Tsai, Shih-Chang Hsia, S. M. Salahuddin Morsalin, and Jin-Fa Lin. 2021. "A 0.3 V PNN Based 10T SRAM with Pulse Control Based Read-Assist and Write Data-Aware Schemes for Low Power Applications" Sensors 21, no. 19: 6591. https://doi.org/10.3390/s21196591

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop