Next Article in Journal
An Evaluation of Executive Control Function and Its Relationship with Driving Performance
Previous Article in Journal
HARP: Hierarchical Attention Oriented Region-Based Processing for High-Performance Computation in Vision Sensor
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

An 8.72 µW Low-Noise and Wide Bandwidth FEE Design for High-Throughput Pixel-Strip (PS) Sensors

by
Folla Kamdem Jérôme
1,2,
Wembe Tafo Evariste
3,
Essimbi Zobo Bernard
1,
Maria Liz Crespo
2,
Andres Cicuttin
2,
Mamun Bin Ibne Reaz
4 and
Mohammad Arif Sobhan Bhuiyan
5,*
1
Energy, Electrical and Electronics Systems, Department of Physics, University of Yaoundé I, P.O. Box 812, Yaoundé 222, Cameroon
2
Multidisciplinary Laboratory (MLAB), International Centre for Theoretical Physics (ICTP), Via Beirut 31, 34100 Trieste, Italy
3
Laboratory of Electronics and Automatics, Department of Physics, University of Douala, P.O. Box 24157, Douala 233, Cameroon
4
Electrical, Electronic and Systems Engineering, Universiti Kebangsaan Malaysia, Bangi 43600, Selangor, Malaysia
5
Electrical and Electronics Engineering, Xiamen University Malaysia, Bandar Sunsuria, Sepang 43900, Selangor, Malaysia
*
Author to whom correspondence should be addressed.
Sensors 2021, 21(5), 1760; https://doi.org/10.3390/s21051760
Submission received: 20 January 2021 / Revised: 29 January 2021 / Accepted: 26 February 2021 / Published: 4 March 2021
(This article belongs to the Section Electronic Sensors)

Abstract

:
The front-end electronics (FEE) of the Compact Muon Solenoid (CMS) is needed very low power consumption and higher readout bandwidth to match the low power requirement of its Short Strip application-specific integrated circuits (ASIC) (SSA) and to handle a large number of pileup events in the High-Luminosity Large Hadron Collider (LHC). A low-noise, wide bandwidth, and ultra-low power FEE for the pixel-strip sensor of the CMS has been designed and simulated in a 0.35 µm Complementary Metal Oxide Semiconductor (CMOS) process. The design comprises a Charge Sensitive Amplifier (CSA) and a fast Capacitor-Resistor-Resistor-Capacitor (CR-RC) pulse shaper (PS). A compact structure of the CSA circuit has been analyzed and designed for high throughput purposes. Analytical calculations were performed to achieve at least 998 MHz gain bandwidth, and then overcome pileup issue in the High-Luminosity LHC. The spice simulations prove that the circuit can achieve 88 dB dc-gain while exhibiting up to 1 GHz gain-bandwidth product (GBP). The stability of the design was guaranteed with an 82-degree phase margin while 214 ns optimal shaping time was extracted for low-power purposes. The robustness of the design against radiations was performed and the amplitude resolution of the proposed front-end was controlled at 1.87% FWHM (full width half maximum). The circuit has been designed to handle up to 280 fC input charge pulses with 2 pF maximum sensor capacitance. In good agreement with the analytical calculations, simulations outcomes were validated by post-layout simulations results, which provided a baseline gain of 546.56 mV/MeV and 920.66 mV/MeV, respectively, for the CSA and the shaping module while the ENC (Equivalent Noise Charge) of the device was controlled at 37.6 e at 0 pF with a noise slope of 16.32 e/pF. Moreover, the proposed circuit dissipates very low power which is only 8.72 µW from a 3.3 V supply and the compact layout occupied just 0.0205 mm2 die area.

1. Introduction

The front-end readout system for modern High Energy Physics Experiments (HEPEs) is a mixed-signal circuit, which performs precise measurement of particle trajectories. It amplifies the output signal of the photon sensor. A data acquisition (DAQ) based Field Programmable Gate Array (FPGA)-based board then extracts all necessary data about the photons from the output signals of the readout electronics and utilizes that information to figure out a coincidence pair of photons to create a line of response (LOR) [1,2,3,4]. For instance, the Compact Muon Solenoid (CMS) illustrated in Figure 1a [5], is predicted to receive a substantial upgrade of the outer tracker sensor and its front-end readout electronics, needing higher granularity and readout bandwidth to absorb a big amount of pileup events in the High-Luminosity Large Hadron Collider (LHC) [2,5]. Therefore, the whole tracking system will be substituted with highly radiation-tolerant sensors which will be capable of handling higher readout bandwidths and particle flux rates [2,5].
To recognize particles having higher transverse momentum (>2 GeV/c) and to distinguish the front-end output with a given L1 trigger level, a double layer sensor module, which combines a pixel sensor with a strip one, was adopted. Consequently, two different readout application-specific integrated circuits (ASICs) were developed, namely the Short Strip ASIC (SSA) for the strip sensor and the Macro Pixel ASIC (MPA) for the pixelated sensor [2,5,6]. The operating principle of a pixel-strip sensor is illustrated in Figure 1b [7]. As ionization is produced on each strip, and the readout circuit should process the ionized particles; therefore, in order to handle higher particles flux, SSA is needed to be implemented within a Complementary Metal Oxide Semiconductor (CMOS) process and integrated into the sensor’s chip [2,5]; this will avoid loss of transmission between the high-speed interconnects and the readout ASIC chip [8,9,10,11].
Recent research on pixel-strip sensors reveals that those devices can transform gamma rays to charges operating at normal temperature, which exhibits a better potentiality for the detection of X-rays and γ-rays for possible nuclear instrumentation applications [6]. A typical thickness for Si-sensor is about 300 µm; the limiting irradiation energy, which would penetrate protons through the sensor, is about 6.2 MeV [5,7]. With moderate cooling by means of small Peltier cells, silicon drift detectors and Si-PIN sensors show particularly excellent spectroscopic performances and good detection efficiency below 15 keV [5,11,12]. In contrast to the spectroscopy amplifier, the major concern for a fast amplifier is the preservation of the charge collection process while keeping a wide bandwidth, which in turn optimizes the signal rise time [4,5,9,12]. The improvement of energy resolution leads to optimization of the charge collection process by designing the lowest possible rise time of the charge sensitive amplifier (CSA) compared to the peaking time of the shaping amplifier; this would prevent ballistic deficit, which involves loss of resolution. Therefore, the energy sensitivity of the readout module should be high enough to minimize the energy loss and guarantee a high rate collection process, which is characterized by its rise time (tr) and can be performed in less than 10 ns to guarantee high counting rate operations [4]. Moreover, for multi-channel readout electronics, the spatial resolution should be more than 2 µm [4,5,12].
A big amount of channels can be made feasible using large-scale integration to include the associated electronics on the same chip of the sensor. Silicon sensors offer a typical signal in the range of tens of thousands of electrons within a collection time of few nanoseconds that should be processed by a readout integrated circuit (ROIC). Signal processing starts with the integration of the input signal, a very small and fast current pulse, into a voltage step performed by CSA [8,9,10]. The CSA output swing is proportional to the total integrated charge, which is in time proportional to the energy released by the incident particles in the sensor. This energy must be measured with the highest accuracy and precision [2,3]. The input node voltage of the CSA increases (tends to increase) and the voltages with the opposite polarity are generated at the output terminal simultaneously. Hence, the output potential through the feedback loop forces the input potential of the CSA to become zero because of high open-loop gain as shown in Figure 2.
The input current pulse is integrated into the feedback capacitor and the corresponding output is a step voltage pulse [6,7,10,13,14]. This voltage is filtered and digitized by an Analog to Digital Converter (ADC) as shown in Figure 2. The resulting data are then coded into an appropriate format so that pixel address, time, amplitude or transverse momentum [5,12] can be extracted through an FPGA module for further processing [11,15].
It is well known that the input signals intercepted by CSA are generally very low in the range of few fC ( ~ 1 f C ) charges. For a given source, the generated preamplifier noise and the input impedance of the amplifier influence the front-end noise performance. The impact of radiations on the devices exacerbates the situation [9,11,12,16,17]. Therefore, the front-end input stage must ensure that optimum noise matching is achieved for the source impedance [11,12,17]. The design parameter of the input stage of CSA directly influences the noise matching. So, the equivalent input noise should be kept as minimum as possible for a given sensor capacitance. The main problem in the design of nuclear spectroscopy very large scale integration (VLSI) readout front end is the implementation of low-noise and low-power CSA. CMOS exhibits several advantages over other concurrent technologies (such as Bipolar, BiCMOS, etc.) and therefore, usually preferred to design application-specific integrated circuits (ASICs) [6,14,18,19]. A widely accepted front-end electronics (FEE) design approach is the use of an operational amplifier (Op-amp), with the R-C feedback network. However, this needs large sensor capacitance (about 15 pF), which compromises the stability of the design [6,14]. The stability, conditions are indicated by the phase margin (PM) and the gain-bandwidth product (GBP) within the Bode plot for the design of single-stage and two-stage amplifiers. However, the stability of multistage amplifiers requires advanced computations than single-or two-stage amplifiers; resulting from the existence of complex poles in high-order switch capabilities [6,20,21]. In addition, the desired performance requirements (GBP, PM) rely on the frequency compensation method and the value of the load capacitance CL1. For a complete validation of the front-end electronics with CMOS technology, the overall system specifications are needed [20,21,22]. In ref. [22], H. Wang et al., proposed readout electronics with CSA-based Polyvinylidene Fluoride (PVDF) transducers. The circuit works for low power dissipation and low frequency, but it was prone to low conversion gain, high feedback capacitance that occupies more die area. Moreover, due to several biasing points, that circuit was prone to more threshold variation and exhibited a higher dc-component, which worsen the output swing of the design [23,24]. In ref. [23], Haryong Song et al. proposed the Ripple Rejection Loop (RRL) techniques for mismatch reduction and offset cancellation in the input transistor stage. The technique works for low-frequency applications. However, the RRL circuit for X-rays and gamma rays spectroscopy could be implemented at the expense of some flicker noise and radiation damage [24,25], in high frequency. Moreover, due to power consumption requirements and hit transfer, the on-chip implementation of the RRL circuit is huge and is therefore not encouraged for spectroscopic purposes.
In recent years, radiation effects have become an important issue in semiconductor readout systems. Radiation hardened devices are constrained by the technology [7,9,26]. Scaling down technology leads to lowering the gate-oxide thickness, involving variations in threshold voltage (Vth) and inducing radiation damage. The reduction of threshold voltage shift (Vth variations) leads to minimizing the gate-oxide thickness (tox) [9,26], then increasing the probability of quantum tunneling of electrons, which enables, therefore, most of the trapped holes caused by induced radiation to be recombined with electrons [26]. The low-threshold voltage (LVT) operation of subthreshold circuits applies lower electric fields across the gate-oxide [27]. This will reduce the rate of electron-hole separation and increase the probability of recombination. Therefore, this induces a lower trapped charge in the oxides and hence lower will be the radiation-induced threshold voltage shift and leakage current. Reducing variation of Vth helps the MOSFET device become more radiation-tolerant (more robust to radiation) [7,8,9,10,26]. A. Baschirotto et al. [20], designed a front-end using a single-ended amplifier as CSA. The circuit works at high frequency and very low voltage; however, the disadvantages of that circuit are high power consumption and high equivalent noise charge (ENC) which worsen the radiation-hardened behavior of the circuit [9,19,25,28,29]; furthermore, the circuit was prone to more parallel noise generated by the passive feedback resistor. The main problem in designing nuclear spectroscopy very large scale integration (VLSI) readout front ends is the execution of low-noise and low-power CSA, which guarantees high particles flux with the lowest pulse pile-up. Therefore, a good choice in the pulse shaping parameters is crucial for achieving good energy resolution and minimum pulse pile-up for high counting rates [11,30,31]. For high throughput experiments, short shaping time ( τ s ) reduces the pile-up effects and for an optimal design solution, the minimum τ s limits the charge collection process and increases the energy resolution accordingly [4,12,25,26,27,28,29,30,31,32]. Therefore, it is necessary to propose an optimal front-end circuit to avoid unnecessary power dissipation and heat in closely packed pixel arrays first avoid. Secondly, the ENC should be optimized concerning sensor capacitance along with the shaping time and the input transistor width, for performing AC and transient analysis and finally, the core amplifier should guarantee a high loop gain, wide bandwidth, high stability and very low-power consumption [6].
This work describes the design and simulation of an ultra-low-power, low-noise and wide bandwidth FEE for high throughput pixel-strip sensors. The circuit consists of a three-stage single-ended CSA followed by a one-order Capacitor-Resistor-Resistor-Capacitor (CR-RC) pulse shaper (PS). The originality of this research results in the following statement; a modified CSA topology was designed for ultra-low-power and high counting rate solution. To compensate for the bandwidth limitation and achieve good stability along with preserving the pulse height degradation, an adjustable gain stage over a wide input dynamic was implemented and controlled by an external device. For this purpose, a common-source (CS) input design is adopted to segregate the input capacitance in order to avoid any bandwidth adjustment. Further, a Miller compensation with zero nulling resistors (MCNR) combined with external feedback was used to cancel out the second pole in the transfer function of the CSA open-loop gain thus, stabilizing the gain-bandwidth product of the circuit. A custom feedback network-based voltage-controlled N-type Metal Oxide Semiconductor (NMOS) resistor was also implemented to cancel out the parallel noise of the passive feedback resistor in the CSA module. A simple and optimal pulse sharper circuit was designed for achieving the highest possible signal-to-noise ratio (SNR) to allow a scale adjustment in energy resolution [11,12,32,33,34,35,36,37,38]. Further, rigorous transistor sizing/matching was performed to reduce the mismatch and achieve an ultra-low-power behavior of the circuit while assuring the radiation hardness behavior of the design [37,38,39,40,41,42]. The rest of the paper is organized as follows: Section 2 provides the design philosophy and materials. Analysis related to the CSA and shaper architectures are discussed, the design parameters are derived and implemented; therefore, the proposed front-end is validated and simulated. In Section 3, the achieved results are discussed. The paper is concluded in Section 4.

2. Design Philosophy and Materials

As illustrated in Figure 2 the global diagram of the front-end electronics is presented. The circuit consists of a CSA as a first stage followed by a differentiator and a one stage integrator as the shaping stage, which further amplifies the CSA output signal and optimizes the signal to noise ratio (SNR). This constitutes one channel of detection. The sensor, with a capacitance Cdet, produces current pulses that are integrated on the CSA feedback capacitor CF [6,25,26,33]. To reduce the pile-up, it is necessary to use a short peaking time. The tradeoff of bandwidth, pulse rise time, peaking time and counting rate is necessary for the selection of the topology of the CSA core Op-Amp [4,25].
Several high gains with wide bandwidth CMOS Op-Amps have been developed and conveyed recently. Those topologies usually employ three to five gain-boosting stages to ensure high gain and mostly necessitate a number of compensation capacitors [34]. It is clear from the literature that the enhancement of the amplifier gain is achieved because of adopting positive feedback, which in turn produces a compensating negative conductance [29,35]. However, in most of those structures, the positive feedback generates a negative resistance at the output node, which produces high DC gain by compensating some of the positive resistance at the output [30,31].
The self-cascode structure also known as composite cascode structure is sometimes used to control the gain of CMOS Op-Amp, since they are built by cascading common source with a common gate; the structure offers a larger effective channel length and a larger effective output resistance [32]. However, at higher frequencies, the output capacitor starts shorting out, providing a low impedance path to the small-signal current and thus there is a decrease in gain. Combining this with the high DC-gain produced by the positive feedback structure will exacerbate the situation and introduce a poor gain measurement at high frequencies [34].

2.1. Design of the CSA Core Amplifier Circuit

For high-speed applications, the GBW of the CSA must be made maximized [4,25]. To overcome the bandwidth limitation and improve the amplitude resolution for excellent particle identification ability, the GBW of the preamplifier is extended to achieve an output rise time of about a few ns as a response to impulsive charge [4]. This requires therefore high input transistor transconductance (gm) [6,28,34]. However, increasing the gate transconductance of the input transistor for a given drain current deals with increasing the device channel width and total gate capacitance, which worsens the electric noise. Therefore, optimizing the sizes of the MOSFETs would lead to a more radiation tolerant circuit [9,10]. Most of the shortcomings of the previous section can be eliminated by custom transistor sizing during the design process [28,30,31,32] along with implementing an internal compensation. In the former case, the compensation network is fabricated on the chip, and usually, no external access to the compensation network is provided [37]. A custom compensation technique in which the CSA GBW is adjusted by an external device is proposed. The proposed CSA has been designed in 0.35 µm technology from the TSMC process. The input transistor aspect ratio Width/Length (W/L) was suitably designed for low-noise and high gain purposes [11,12]. Moreover, an on-chip gain adjustable stage was implemented to extend the bandwidth of the core amplifier. An external resistor through a bias current controls this adjustable gain stage. A custom feedback network was adapted to perform the initial conversion of small current pulses into voltage step pulses. Table 1 presents the design specifications of a CSA circuit for typical Silicon-PIN sensor applications. To increase the gain of the CSA, we studied a three-stage configuration for the design. The single-ended configuration of the circuit exhibited in Figure 3, is more appropriate than the differential one for the reduction of power consumption. The choice of the N-channel input transistor relies on the lower thermal noise compared to the P-type at high frequency [9,18], since the 1/f noise is negligible in the frequency region above 10 kHz [6,38,39]. In addition, N-channel MOS, gives a lower series white noise with respect to the P-channel counterpart, because of its higher transconductance [6,27,38] at the same drain current compared to the PMOS device. The current source at M1’s drain is provided by M2, which is a P-channel MOSFET with smaller transconductance.
The second stage is a common-source based current load, so that the drain current of M8 (Ibias), is used to adjust the dc-gain of the amplifier. It utilizes a Miller Compensation combined with a custom feedback module for achieving good stability of the design. The stability of the feedback capacitor (CF) and the preamplifier open-loop gain determine the reliability of the preamplifier sensitivity. The open-loop gain is usually quite large, and hence the effect because of the small changes in the CF can be ignored [39,40].
Therefore, the bias current is kept at a specific low value (2.5 µA) to keep a very low transconductance of M3 thus, exhibiting very high loop gain. Capacitor Cm provides gain and the dominant pole in that stage; so, a resistance Rm is used to suppress direct transmission through Cm at high frequencies [18]. Such a stage in the CSA incorporates a higher output resistance. All the transistors should be kept in their saturation state, i.e., VGS > VTH and VDS > VGS-VTH [6,39] to provide the maximum output swing for this stage. Here VTH values for NMOS and PMOS are 0.6 V and −0.85 V, respectively.
The third stage consists of an N-channel MOSFET M7, which aims to give a negative gain of the entire circuit so that one can apply the negative feedback. It is biased by a low current through RS. The value of Rs is set to 3 kΩ so that M7 should operate in the saturation region. The output stage is source follower based, designed to exhibit unity voltage gain. Current flow from M4’s drain kept M5 biased in saturation. The feedback loop is built of an on-chip feedback capacitor CF of 0.1 pF and an active resistor network MF-MP of 3.54 MΩ and 1.42 kΩ, respectively, at the top-level design as shown in Figure 3. The circuit was designed with thick oxide transistors that allow a relatively high supply voltage of 3.3 V (VDD) in a standard 0.35 μm CMOS technology process. The achievable output rise time of the CSA circuit is given by t r = 2.2 2 π GBW , where GBW is the gain bandwidth of the CSA core amplifier. From this formula, a fast pulse response of 7.36 ns was guaranteed for reaching 1 GHz bandwidth.

2.2. Analysis of the CSA Circuit

The first stage is a cascade topology developed based on a common source with diode-connected PMOS (M2) so that the input is free from parasitic capacitance and the feedback amplifier controls the gate voltage.
Therefore, the CSA input becomes a virtual ground and the sensor capacitance is less significant to the CSA bandwidth. The specifications of the design impose to guarantee a high dc-gain and high stability. The overall transfer function of the small-signal model of the proposed circuit (Figure 4) is presented as follows:
A ( s ) = A O L D C 1 + C m R m + 1 g m 3 s 1 + g m 3 g m 7 r 03 r o 8 R s C m r 03 + r o 8 s 1 + C m g m 3 + g m 7 g m 3 g m 7 s + C m C L g m 3 g m 7 s 2
where g m i , r 0 i and Ci are denoted as the equivalent transconductance, output resistance and the lumped capacitance at the ith gain stage. The output parasitic capacitance being lumped in the load capacitance CL. The parasitic capacitances and parameter values of the circuit in Figure 4 was extracted during the implementation process and presented in Table 2.
C 1 = C g d 1 + C g d 2 C 2 = C g d 3 + C g d 8 + C g s 7 C 3 = C g d 7 + C g d 6 + C L
To study the stability of the design, the following assumptions are made to simplify the transfer function of the core amplifier. Cm and Rm being the Miller capacitor and the zero-nulling resistor, respectively, C 3 C L   a n d   C m   ,   C L >> C 1 , C 2 , g m i 1 r 0 i   ; thus, (1) can be written as
A ( s ) = A O L D C 1 + s ω z 1 1 + s ω p o 1 + 1 Q s ω p 1 + s 2 ω 2 p 1
where the associated parameters are given by (4)
ω z 1 = g m 3 C m 1 + R m g m 3 ω p o = r 03 + r o 8 g m 3 g m 7 r 03 r o 8 R s C m ω p 1 = g m 3 g m 7 C m C L A O L D C = g m 1 g m 3 g m 7 r 03 R s g m 2 1 + λ r 03 I b i a s Q = g m 3 g m 7 g m 3 + g m 7 C L C m
However, the dc-gain (AOLDC) of the circuit as depicted in (4) depends on Ibias and can be adjusted by an external resistor Rg; λ being the channel modulation parameter. (5) give the system’s phase margin (PM) with pole-zero cancellation
P M = tan 1 G B W ω z 1 tan 1 G B W ω p o Q 1 G B W ω p o 2
The proposed circuit has been simplified and analyzed based on the MATLAB development toolkit [19]. Small-signal parameters and parasitic capacitances of MOSFETs are used in the toolkit as input data to enhance the design of multi-stage Opamps (Figure 5). Illustrates the frequency response of an MCNR three-stage Opamp designed for 42° phase-margin (black line). It is evident that the amplifier exhibited two poles; the dominant pole ω p o , the large pole ω p 1 and one zero, all associated with Equation (3). The poles are located at 74.6 kHz and 141.42 MHz, respectively, and the zero is situated at the frequency of 998 MHz. The feedback network is designed to introduce a phase lead near the crossover frequency, thus canceling the second pole of the Open-loop gain (OLG) which is located at the frequency of 141.42 MHz; then, increases the amplifier’s phase margin. The transfer function associated with the feedback network is written as (6):
K ( s ) = K 1 + τ F s 1 + τ p s τ F = R F C F τ p = K τ F K = R P R P + R F
As depicted in Figure 5, the Open-loop transfer function (OLTF) and Closed-loop transfer function (CLTF) are associated, respectively, with the Open-loop gain (OLG) and Closed-loop gain (CLG), the circuit should be designed to fit the requirements of this analysis. Therefore, rigorous transistor sizing and design should be implemented in order to achieve better performance, taking into account the parasitic effect and mismatch that generate noise in the device.

2.3. Feedback Lead Network (FLN) Implementation

This module comprises a charge collecting capacitor CF and an active network resistor (MP and MF) based on a voltage-controlled NMOS resistor. The value of CF was chosen to ensure sufficient high charge-gain conversion that will prevent the design against saturation. In fact, in most conventional CSA design, the charge gain is kept low enough to keep the preamplifier output from saturation. Since, the output saturation causes the ballistic deficit, which is a decrease in amplitude as the bandwidth has been degraded by the gain [35]. In this design, the bandwidth compensation is achieved thanks to the adjusted gain stage. Therefore, a feedback capacitor of 0.1 pF was set to handle a maximum input charge of 280 fC, without compromising the bandwidth. To minimize the feedback area, MP and MF are based on an NMOS transistor working in a linear region; their channel dimensions’ ratios are sized to exhibit no parallel noise. However, It is a challenge to bias the feedback network because to achieve a large effective resistance, the operating region of the MOSFETs is of interest. Considering a MOS device biased in strong inversion and working in the linear region, the drain-source current characteristics can be written as (7):
I D S = μ n C o x R d V G S V T H N V D S V D S 2 2
Hence, MP being biased to operate in the triode region, and neglecting the channel length modulation and the quadratic effect of the drain-source voltage, the equivalent resistor of the NMOS device is given as
R D S = V D S I D S =   1 μ n C o x W L V G S V T H N
MP is designed to handle 1.42 kΩ equivalent resistor with W L P = 2.772   µ 0.7   µ . However, based on Equation (8), MF was biased to operate in weak inversion moderate with W L F = 3   µ 36   µ . This allows achieving a very large equivalent resistance of 3.542 MΩ.

2.4. Design of the CR-RC1 Pulse Shaper

In order to tune the signal-to-noise ratio (SNR) of the sensor readout electronics and reduce the signal interference between signals from a different time, the output signal of the CSA is needed to be shaped using a first-order active CR-RC pulse shaper (PS) circuit as illustrated in Figure 6. Low-frequency noise (1/f) and thermal (high-frequency) noise was suppressed using a custom shaper circuit consisting of a differentiator and an integrator with constant time both equal to the optimal shaping time ( τ d = τ i = τ s , o p t ). The pulse shaper circuit provides an output voltage proportional to the energy of the detected particles. The topology of the core amplifier used in the CSA is used for this purpose. Therefore, the loop gain A OL _ SH of the PS is given by (9) as follows:
A O L _ S H = V C S A , m a x Q m a x C F e n n n !
where n is the order of the shaper. Using the design parameters allows achieving 2.67 loop gain. It is easy to derive the shaping design parameters as follows: C d R d = C i R i and R d R i = C d C i = 1 A OL _ SH . For 200 fF integrating capacitor, C d = 534   fF ,   R d = 400.75 kΩ and R i = 1.07 MΩ, respectively.
Henceforth, R d and R i are very large, thus should occupy more space. Using (8) with suitable transistor biasing within the design process, the equivalent resistance can be derived from NMOS device operating in weak inversion moderate so that W i L i = 10   µ 41   µ , V GSi = 0.7   V and W d L d = 2   µ 23.6   µ , V GSd = 0.9 V. However, the PS core amplifier would exhibit a gain-bandwidth given by GBW SH = 1 2 π τ s , opt = 744.1   kHz . Hence, GBW SH = g m 1   sh 2 π C L 2 , g m 1 sh being the transconductance of the input transistor and C L 2 the total load capacitance of the shaper. For 1 pF, load capacitance, the small-signal transconductance is calculated from the previous expressions and controlled to be 4.67 μ S , which allow simulating 912 nA drain-source current, exhibiting, therefore, the ultra-low-power dissipation of only 0.301 µW, while the geometric aspect ratio of the device was controlled at W sh 1 L sh 1 = 3   µ 20   µ . Moreover, the shaper input stage was chosen to be a common source with a P-channel MOSFET active load. The former device was biased to work in a strong inversion saturation regime by V b = 1.2   V , and adjusted to handle A OLSH = 10 input gain stage; so g m 2 sh = g m 1 sh 10 . Despite the input transistors M1sh and M2sh which have been customized, the remained devices of the CSA core amplifier have been utilized to design the shaper module. The general parameters of the PS circuit are presented in Table 2.

2.5. Noise Optimization of the FEE Circuit

The sensors, preamplifiers and shapers are the main contributors to noises. The CSA, along with providing low-noise amplification, offers low input impedance (virtual ground) which stabilizes the potential of the sensor electrode and reduces the inter-electrode cross-talk [41]. The input transistor of the CSA is designed to operate in strong inversion saturation and optimized to handle the lowest possible ENC. The total E N C C S A for a given feedback and sensor capacitor, according to the adopted CMOS process consist of three different components [6] and given as follows:
The most prominent thermal noise contribution can be calculated as (10):
E N C t h 2 =   4 K B T n γ α n q 2 C d e t + C f +   C g 2 g m C g N t h τ s
where KB is the Boltzmann constant, T is the room temperature, η is the body factor, γ is the inversion factor, αn the excess noise factor, Nth is the shaper noise index for the thermal noise, τs is the peaking time, Cdet the sensor capacitance, Cf the feedback capacitor, Cg the gate capacitance and g m is the input MOSFET transconductance.
The flicker noise also known as 1/f noise is expressed as (11):
E N C 1 / f 2 =   K f q 2 C d e t + C f +   C g 2 C g N f
where Kf is the flicker noise coefficient and Nf the shaper noise index for flicker noise
The white parallel noise contribution due to the sensor leakage current (Ileak), the MOSFET gate current and feedback resistor R f , is defined as follows (12):
E N C i 2 = 2 q I l e a k +   I G N i τ s +   4 K B T N i q 2 R f
where q is the elementary charge, I G the gate current of the input transistor, R f the feedback resistance and N i the shaper noise index for the white noise. In Equation (12), the first term refers to shot noise for a weak inversion MOSFET operation due to a higher potential barrier between source/drain and channel. However, the second term refers to the thermal noise generated by the very small potential barrier created by the positive gate potential in a strong inversion MOSFET [7,25].
Different components of the ENC were first optimized with respect to W and ID, and then with respect to C g [6] using the first-order shaper. The optimization technique well explained in refs. [6,33] is therefore adopted and the optimized parameters are derived as follows W o p t = 3 C d e t + C f 2 C o x L m i n and I D , o p t = g m 2 L m i n 2 μ n C o x W o p t . The instability of the drain current (ID) is established by the variation of charge in the depletion region, which constitutes the channel width. L m i n and W o p t are the minimal length and the optimal width of the input device. W o p t , being calculated at 62.5   μ m and L m i n = 10.5   µ m the design requirements allow achieving very much less drain current of I D , o p t = 2.5   µ A , for the CSA input transistor. Since the bias current of M1 is fixed to its optimal value, increasing W/L reduces the overdrive voltage VGS-VTH, eventually driving the transistor in moderate or weak inversion. The threshold voltage variations were reduced based on conventional low-threshold voltage (LVT) operation, which consists of lowering channel doping, which narrows the channel depletion region, improves the subthreshold slope, and reduces the gate leakage contribution. Moreover, the VTH optimization was implemented during the Spice simulations setting the bulk-source voltage of the inputs transistors to 0 (VBS = 0 V). Moreover, while layout the design, mismatch reduction helps in reducing the fluctuation of VTH taking into account the trade-off between drain-induced barrier lowering (DIBL) mitigation and gate leakage reduction [42]. Therefore, if the transistor works in this region, increasing its gate width too much worsens the noise, because it leads to more gate capacitance without improving the transconductance [6,41,43]. The total gate capacitance, which optimizes the different components of ENC, is obtained by solving the equations E N C t h 2 C g = 0 and E N C 1 / f 2 C g = 0 , respectively [6]. The solutions of those equations are found to be:
C g , t h = 3 2 C d e t + C f   and   C g , 1 / f = C d e t + C f
The values of the gate capacitances given by (13) limit the operating regime of the input device. The gate width is finally adjusted to achieve the matching condition defined in (13). At this point, if the contribution of the ENC due to flicker noise is greater than the one given by thermal noise, Cg can be further increased. Depending on the value of Kf and the peaking time, the optimization will result in a W yielding a gate capacitance between 3 2 C d e t + C f and C d e t + C f . The input capacitance must also be much greater than the other capacitance sources connected to the input preamplifier in order to ensure that the sensitivity of the preamplifier is not compromised by external capacitance changes [25]. Considering the input transistor in the strong inversion saturation mode, W o p t leads to C g , o p t = C d e t + C f . Thus, in this regime, the same value of gate capacitance minimizes both flicker and thermal noise. Therefore, the total ENC of the CSA can be expressed as (14):
E N C C S A = 1 q A 1 g m 1 τ p + A 2 C d e t + C f + q I l e a k +   I G τ s +   4 K B T R F N i
where A 1 =   K B T n γ α n 3 N t h and A 2 =   16 K f N f 3 .
However, the passive feedback resistance ( R f ) is replaced by the voltage-controlled NMOS resistor network, which exhibited no parallel resistive noise. Moreover, the optimal shaping time is obtained by solving the equation E N C t o t a l 2 τ p = 0 . Thus (15) give optimal shaping time and (16) give the optimized ENC as
τ s , o p t = A 1 q I l e a k g m 1 N i C d e t + C f
E N C C S A = 1 q A 1 g m 1 τ s , o p t + A 2 C d e t + C f + q I l e a k +   I G τ s , o p t +   4 K B T R F N i
From analytical computation, it is clear that the minimum ENC of the CSA is achieved when τ s = 214 ns, which is the shaper constant time.
Assuming that the sharper module exhibits infinite gain and higher SNR, the impact of noise from its amplifiers can be reduced by increasing the size and power of the active devices [6,40]. The ENC contribution of the shaper comes from the dissipative feedback component [6]. The parallel noise spectral can be stated as an equivalent parallel noise generator at the input of the charge amplifier by scaling it with the square of the charge gain of the shaper A O L _ S H [6,41,44,45]. Thus, the shaper ENC component is given as (17):
E N C S H 2 = 4 K B T A O L _ S H 2 R i N p τ s
where N p is the ENC coefficient for white parallel noise [34].
The total ENC of the FEE, defined as the quadratic sum of the CSA and the shaper components can be expressed by (18) as follows:
E N C t o t a l = 1 q 2 A 1 g m 1 τ s , o p t + A 2 C d e t + C f 2 + q I l e a k + I G τ s , o p t + 4 K B T R F N i + 4 K B T A O L _ S H 2 R i N p τ s , o p t z

3. Simulation Outcomes and Discussions

3.1. Simulation and Implementation Framework

The performances of the proposed readout circuit were verified using LTSpice simulator and the layout was implemented in 0.35 µm CMOS technology process from TSMC, using Electric VLSI. For all the Spice simulations, the sensor was modeled by an ideal current source in parallel with capacitor Cdet which values vary up to 2 pF. The Transistors were placed symmetrically, biased and designed by keeping the ratio g m I D sufficiently high in order to optimize mismatch along with the stability of other analog performance such as the gain-bandwidth product GBW [41,43]. The CSA input’s transistor size and biasing current were optimized for matching the input capacitance to the target sensor’s capacitance [26]. It was, therefore, biased with a low current of 2.5 µA supplied from 3.3 V (VDD). The shaper’s core is based on a common source input stage with a P-channel MOSFET active load, biased to work in a strong inversion saturation regime with V b = 1.2   V . This allowed simulating 912 nA drain-source current, exhibiting, therefore, an ultra-low-power dissipation of only 0.301 µW and achieving the GBW of 744.1 kHz. Its peaking time was configured optimizing the overall ENC of the FEE and controlled at 214 ns.

3.2. Results and Discussions

The specifications and design parameters of the proposed front-end electronics were improved as compared to recently published works. Figure 7 shows the influence of the bias current on the open-loop gain of the core amplifier. As illustrated in that figure, is possible to increase the dc-gain of the device just by adjusting Ibias value, for a feedback loop of RF = 3.542 MΩ and CF = 0.1 pF. To achieve suitable amplification of the CSA, Ibias was controlled to 2.5 µA by an external resistor (Rg) as mentioned in the previous section. Frequency analysis swept from 1 kHz to 10 GHz and is displayed in decade form. The bias current is adjusted by changing the value of the external resistor Rg that allows changing the transconductance of M8, and therefore increasing the dc-gain of the Opamp as depicted in Equation (4). Figure 7. shows the Spice simulation results of the open-loop gain (OLG) of the Opamp versus the Ibias current. It is evident that for the low value of Ibias, wide GBW is achieved but involves poor stability of the circuit. The simulated results show that the core amplifier achieved a 2.5 µA bias current, a unity gain-bandwidth of 997.84 MHz with a 42° phase margin. The very little difference with the analytical value is due to the parasitic and the residual noise generated by the circuit. However, the phase margin remains poor and the circuit behaves unstable. Therefore, the bias current is a crucial parameter that may guarantee high dc-gain, the stability of the circuit need to be compensated. Since the GBW is stabilized through the dc-gain, it should be necessary to keep the highest possible phase margin for maintaining signal integrity [23,24,46]. Therefore, its feedback network determines the closed-loop gain (CLG) stability of the design. Since the sensor, the capacitance was set to 2 pF and the extracted parasitic capacitor of the input transistor was around 20 fF; the total input capacitor was fixed to 2.02 pF. Nevertheless, a resistor has a parasitic capacitance and a capacitance has a parasitic resistance. Thus, an RC feedback network (RF-CF) models the feedback circuit. Loop-gain stability has been tested during the charge vs voltage conversion when RF-CF is bypassed [21]. The Opamp equivalent load capacitors are also taken into consideration by varying CF. For achieving the highest stability of the circuit, the closed-loop gain is adjusted by the RF-CF sizing. The feedback equivalent resistor (RF) was implemented by associating the drain-source resistance of two N-channel MOSFETs (MF and Mp on Figure 3) device biased to be in the triode strong inversion region. Under this condition, the parallel noise was minimized to a large extent; thus, the circuit is stable and continuously sensitive and can be maintained in this condition without adjustment for spectroscopy purposes [16,40,41,43]. Thus, with that technique, we achieved up to 3.542 MΩ feedback equivalent resistances, which guarantee a phase margin of 82°. The closed-loop gain of the design is shown in Figure 8. As depicted on that plot, the maximum unity bandwidth (GBW) achieved by the design (for stability conditions) is controlled at 1 GHz, which is a bit different from the one obtained in the open-loop condition. Thus, the feedback compensation circuit and the parasitic capacitance of the design produce an error estimated at 0.216% on the GBW. The difference between the analytical model is just 0.016%. This little difference is because the analytical solution was computed with ideal components, neglecting, therefore, some internal capacitance and mismatch produced by the devices. Adjusting Ibias as shown in Figure 7, enhances the phase margin and the bandwidth could be extended to more than 2 GHz. The compensation capacitor brings together a pole and zero into the loop equation. The zero always occurs before the pole because of RF > R(MF)||R(Mp). The zero is placed to cancel out the first pole along with its associated phase shift. The analytical closed-loop transfer function shown in Figure 5 (blue line), was confirmed by the Spice simulation results in Figure 8. When the τ F zero is placed at ωp1, it cancels out the pole (p1) causing the Bode plot to continue on a slope of −20 dB/decade. When the frequency gets to ωF = 1/RFCF, this pole changes the slope to −40 dB/decade. The phase shift is canceled before the second op-amp pole occurs, and the circuit reacts as if the pole was never introduced. The benefit of pole-zero cancellation is improved pulse shape and resolution in the energy at a high counting rate [4,23,25,32].
The noise corner frequency fc, which is the frequency at which the asymptotes of the flicker and thermal noise components cross was identified as the frequency range over which the CSA op-amp noise is dominated by either the 1/f or the thermal noise components [36,37,38,39,40,41,42,43,44,45,46,47,48,49]. In agreement with this definition [36], the noise corner frequency of our design has been controlled to be 652.9 MHz. Therefore, the Input-referred-noise (IRN) of the circuit was plotted in Figure 9, in the frequency range of 600 MHz to 4 GHz. The IRN spectral density extracted is 5.23 nV/√Hz at 997.82 MHz. Moreover, when developing analog front-end recording (AFE), a lower IRN guarantees the signal quality [16] of the recorded neuron activity and low power consumption can prolong the existence of the implanted recording system in the human body [6,36]. However, in the CSA, the parameter that embodies the noise performance is the ENC, namely the input charge necessary to get at the output a signal equal to noise. Its calculation was based on this intrinsic definition, neglecting the standard calculation depending on the post-CSA circuit, not present in this design [33,34,36]. Equations (18) and (19) have been computed to provide optimal design parameters; an optimum shaping time of 214 ns has been extracted and the overhead ENC has been controlled at 37.35 e-with a sensor capacitance of 0 pF and a slope of 16.32 e-/pF worsened the noise; while the Spice simulations provided a noise slope factor of 19.58 e-/pF. The ENC as a function of ID and W has been computed and presented in Figure 10. It is clear that the thermal noise is decreased when an increase in the input transistor current occurs but it comes up with the increase in the bandwidth over which the thermal noise is integrated as well. Therefore, those effects canceling each other out. Hence, significant reductions in power consumption are achievable with little or no noise penalty if the device is made to operate at a low count rate [46,47,48]. Moreover, the reduction in the bias current of the input transistor offers good separation between the preamplifier rise and fall time [17,48,50,51]. According to Equation (19), we can note that, at short peaking times, the noise increases rapidly with capacitance and increases as the peaking time is reduced. For Si-PIN diodes, the capacitance scales with area, so large area sensors exhibit more noise [12,37,38].
For SDDs, the capacitance is much lower and nearly independent of area. This noise is only weakly dependent on temperature [12,37,43]. At long peaking times, the noise increases with peaking times (Figure 11) and with leakage current. Since leakage current increases exponentially with temperature, reducing temperature helps dramatically.
There will be always some peaking time at which the noise is minimum, where the delta and step terms are equal. There is no advantage for operating at a longer shaping time, because of the integration of more parallel noise during this period. The optimum time constant is shorter for lower capacitance and longer for low leakage currents. Otherwise, the third term of Equation (14) represents the shot noise (due to the leakage current of the sensor) which could be considered to be 10 nA (for the worst silicon sensor) while performing the total noise of the intrinsic CSA circuit (Figure 11). The intrinsic noise represents the noise of the preamplifier without any sensor connected. The ENC varies from 39.0437 er.m.s to 37.5643 er.m.s as the peaking time is changed from 10 ns to 0.5 µs. From the spice simulations, it is shown in Figure 11 that, the ENC is reduced when the power dissipation increases. The ENC achieves a value of 37.69043 erms when the power dissipation is larger than 8.56 µW. This means that the specification of the power dissipation satisfies the design requirements. As shown in Figure 12a–d, the design consideration taken to optimize the total ENC for the used techniques is also used to choose the optimal Id and W, as a trade-off between ENCth specification of the peaking time and power consumption [4,16,25]. Those optimal parameters were Id = 2.5 µA and Wopt = 62.5 µm which corresponds to gm = 61.4 µS. An optimal transistor channel length Lmin = 10.5 µm was chosen to minimize the input capacitance of the CSA circuit, therefore. Especially on Figure 12a,b), it is evident that ENCth has a minimum value at Wopt, and that value has a low dependency on Id and τ s , respectively. From those two graphs, it is clear that above 62.5 µm the noise improvement with the drain current and the peaking time increasing, respectively, is very low. The same observations are made in Figure 12c where the dependency of the ENCth is very low above 2.5 µA.
The transient responses of the readout circuit are shown in Figure 13 and Figure 14. Different charges of width 1 ns were injected into the sensor. The output swing of the CSA achieves up to 1.962 V peak and decreases slowly thereafter because of the feedback action. The fall time of the signal is about 300 ns, setting by CF and RF. It is evident in Figure 14 and Figure 15 that the CSA output is amplified and shaped; for 200 fC-injected charges, the shaper output swing achieved the peak value of 4.16 V after 241.8 ns peaking time.
The input charge dynamic range of the FEE is from 0 fC to 280 fC. The output voltage linearly increases with the increase of input charges, the charge-to-voltage gain from the output node of the CSA, the CR-RC shaper, is provided by simulation outcomes as, 546.56 mV/MeV (9.92 mV/fC) and 920.66 mV/MeV (16.7 mV/fC), respectively, using the equivalence from mV/fC to mV/MeV as mentioned in ref. [52]. The output voltage range of the Shaper is 22 mV to 4.16 V. The overall gain of the readout module can be adjusted by the feedback capacitance of the CSA.
Figure 13 shows the effects of the CSA gain bandwidth on the ENCth, with different input transistor widths. It is readily recognized that the lower transistor width leads to higher thermal noise for GBW from 1 to 20 dB. This is because, for lower GBW, the collection process is slowed down; due to the highest rise time, the thermal noise accumulated in the device increases accordingly. This results in the attenuation of the output swing and therefore a poor energy resolution [4,25,34]. As depicted in Figure 13, the optimal input transistor width (62.5 µm), is the critical value for which the variation of the thermal noise is not sensitive to the CSA gain bandwidth. Therefore, from a point of view of minimizing the ENCth, a typical gate width is needed at a higher GBW [4,25]. From a practical point of view, higher GBW leads to a short rise time than a very fast collection process. So, instead of the wide bandwidth of the CSA, the noise accumulation process is very brief due to the shortest collection time (7.36 ns) [5]. Accordingly, the optimal input noise matching results in an optimum input device aspect ratio. The smallest transistor size should be therefore taken at the expense of some system resolution [4,25,34]. However, the output stage of the shaper being an N-channel source follower will help in reducing the non-linearity of the device for the large output signal. The nonlinearity of the readout module was controlled at only 0.8% and 1.24%, respectively, for the CSA and shaper, provided by the spice simulation results.
The capacity of the circuit to operate under high particle flux and high charge production rate was simulated and presented in Figure 16. The sensor with 2 pF capacitance was set to handle 1000 radiation events. Up to 280 fC charges were therefore injected at preamplifier input with 1 fC maximum step. The output swing of the circuit was computed and the histogram of the amplitude was therefore generated.

3.3. Post Layout Monte Carlo Simulation Results

Power-efficiency and robustness of the proposed circuit against process variation were performed through a post-layout Monte Carlo simulation. As illustrated in Figure 16, this histogram describes the response function of the proposed FEE against several radiation events. This corresponds to the histogram of the energy of the detected particles (or injected charges) in real-time operations [6,8,37,47]. Two important observations can be made. On the one hand, the output swing (offset voltage) for 0 fC is very low and is about 22 mV. This means that the proposed FEE does not exhibit high input offset; this confirms the zero dc-voltage components shown in Figure 14 and Figure 15 for different input charges. The radiation-hardened behavior of our proposed front-end has been achieved thanks to input transistor sizing which helps in keeping lower gate capacitance and optimal transistor width for a considerable reduction in electric noise [9,12]. On the other hand, the proposed design is capable of handling up to 280 fC without losing the integrity of the signal (preserving the information of interest). So, exhibited a wide input charge range. The mean output swing of the design was controlled at 1412.17 mV with a 7.65 mV standard deviation. The full-width half-maximum (FWHM) was only 12.23 mV and contributed only at ~1.87% of the output swing. Since the circuit energy response is illustrated by Figure 16, the lowest percentage of the FWHM is satisfactory and confirms that the proposed FEE can handle high-energy resolution [12,16] for spectroscopic applications. In Figure 17 the post layout Mont Carlo simulation results, highlighting the ultra-low power behavior of our circuit is presented. The average power consumption of the design was controlled at 8.72 µW while exhibiting only 1.83 µW of standard deviation. From this analysis, it can be concluded that, the power dissipation of the proposed front-end does not vary significantly due to process variations.
Figure 18 shows the histograms of conversion gain based Monte Carlo simulation results of the proposed front-end circuit for 500 runs, which exhibited the histogram of the conversion gain for both the CSA circuit and the PS module, for 10 fC charge injected at the input of the sensor. The highest sensitivity of the design is then achieved; for a week amount of injected charge the histograms of the conversion gain observed on Figure 18a,b show a mean value of 589.4 mV/MeV, and a standard deviation of 90.36 mV/MeV for the CSA stage while the shaper circuit exhibited 872.73 mV/MeV mean value and 95.86 mV/MeV standard deviation. This shows that the outcomes got with Monte Carlo models do not vary fundamentally for 500 runs and the front-end performance is very steady and robust. The less difference of those parameters with the spice simulation results is attributed to the parasitic capacitance obtained while designing the feedback circuits of the different stages. This can be compensated by adjusting the feedback capacitance of the CSA or increasing the loop gain of the shaper via an external device.
Moreover, as highlighted in Figure 19, the ENC and shaping time are extracted from the post-layout simulation results and plotted for different values of power consumption. The system achieved an ENC of 37.6 e at 214 ns peaking time while dissipating only 8.72 µW of power from 3.3 V supply voltage. At 241.8 ns peaking time, the proposed front-end exhibited an ENC of 38 e, while consuming very less power of 10.14 µW. Those relatively low variations of equivalent noise charge and power consumption provided by the post-layout simulation at 241.8 ns peaking time, do not differ so much from those provided by the spice simulations; confirming, therefore, the ultra-low-power and low-noise behavior of our design.
The total core layout area occupied by the proposed readout electronics is sized at (256.2 × 80) μm2 as shown in Figure 20. Parasitic extraction was used to extract the netlist with parasitic. The voltage supply is 3.3 V; the maximum power consumption achieved through post-layout simulations is about 8.72 µW for the whole circuit, which is 1.83% higher than that provided by the spice simulations. This little increase in power dissipation is mostly due to the parasitic and mismatch while laying out the design [11,17,49]. In this research, the gain-bandwidth product of the circuit was stabilized by means of a high-frequency feedback loop, which operates according to the voltage-controlled NMOS resistor (RF and Rp) technique [6,22]. The innovation of the proposed FEE results in the implementation of the external bandwidth compensation based gain stage, which allows achieving high gain with less amount of current, preventing, therefore, the pulse height degradation along with bandwidth limitation and power dissipation. Further, the combination of the Miller compensation with the Feedback lead network is used to raise the best PM and guarantee decent stability of the gain-bandwidth product with good linearity for high-energy resolution applications.
As a rundown, in Table 3 the general highlights of the FEE circuit are presented. To achieve a high signal-to-noise ratio (SNR) and reduce power consumption, ENC, and active die area of the chip, the configurations presented in the literature have been consulted [6,14,16,20,22,24,39,52,53,54,55,56]. Considering the critical contrast on the input transistor’s capacitance, the outcomes are empowering. Therefore, readout electronics performances are in agreement with the state-of-art specifications. On the one hand, the design of the input and feedback transistors allowed achieving high linearity, with high phase margin and sufficient low noise to ensure good stability. On the other hand, the optimization of Ibias helps in adjusting the dc-gain of the CSA circuit and avoids saturation, which affects the linearity and the energy resolution of the device. Therefore, the adjusting gain stage allows achieving a high-energy resolution with wide gain bandwidth (1 GHz) and the operational amplifier stability has been guaranteed with 82° phase margin and 88 dB minimum DC-gain. A figure of merit (FOM) must be agreed upon for comparison with previous research works.
The following FOM was defined to highlight the performances of this design with recently published works [53,54,55,56]. This parameter can be explained as the speed-sensitivity product to the power dissipation for a given sensor capacitance. The higher the FOM, the lower the white noise at lower power dissipation [55].
F O M =   f t P d   ( MHz / µ W )
where Pd is the power dissipation and f t being the preamplifier transition frequency. From Table 3, the proposed front-end electronics exhibited a quite high and acceptable FOM of 116.82 MHz/µW. The circuits presented in refs [17,26,49] exhibit higher conversion-gain than that of our design, but they suffer both from higher ENC and low input dynamic. The circuit in ref. [22] has a higher input dynamic of 450 pC and consumes only 2.1 µW of power, but suffers from a very low conversion factor of only 0.044 mV/MeV, involving poor FOM of only 14.29 MHz/µW.

3.4. Process Variations

Process variations outcomes worsen with reducing the channel length [52,53]. Mismatch being a function of threshold voltage (VTH) and supply voltage (VDD), low VTH (LVT) transistors have a reduced mismatch impact due to higher VDD/VTH ratio than standard VTH (SVT) or high VTH (HVT) transistors; the proportionate change in temperature from SVT to HVT is much larger as compared to that from LVT to SVT [54,56,57]. Thus, it is more advantageous to move from HVT transistors to SVT devices, but this results in high power dissipation. Large MOS devices increase the intrinsic parasitic capacitances, which leads to more thermal noise, but also reduces local head transfer and mismatch for LVT that can increase the power consumed by the design [52,53,55]. In order to reduce the influence of the high threshold voltage, the input transistors of both the CSA and the pulse shaper modules have been optimized based on conventional LVT operations [57]. In fact, LVT devices have a higher current density and transconductance than regular threshold voltage (RVT) transistors for the same bias conditions, which enforces the previous suitable applications, commented [58,59]. Furthermore, LVT transistors have higher transconductance efficiency, so for low power applications, LVT MOSFETS are recommended. RVT devices have lower VDSsat than LVT MOSFETs. The fact that for applications that need lower supply voltages and do not need require high gains RVT devices are a good choice [58,59]. In addition, LVT transistors present slightly lower parasitic capacitances than RVT transistors, which involves that LVT devices are more suitable for high-frequency applications than the RVT [58,59,60]. Taking into account the trade-off between transistor size and mismatch, we perform optimal transistor sizing/matching with a parallel arrangement of the devices to reduce the parasitic and mismatch effects, canceling, therefore, the short circuit power generated by those parasitic [53,55,60] and achieved 8.72 µW of maximum power consumption.

4. Conclusions

Design techniques of a low-noise, stable and ultra-low power FEE for silicon sensors applications have been described in this research. The design consisted of a compact CSA module linked to a one-order fast PS. The proposed structure was described and analyzed to handle the optimal design parameters. The Spice simulations were therefore implemented and validated by post-layout simulations and Monte Carlo results in 0.35 µm CMOS process, and the specification parameters confirmed the theoretical model. As per FEE design requirements, the input stage transistor aspect ratio has been optimized to guarantee the possible low noise performance. An adjusting gain stage was implemented in the preamplifier stage to control the loop gain and compensated, therefore, the bandwidth limitation of the core amplifier. The feedback resistors were implemented using an active MOS device based voltage-controlled resistor; this allows canceling the parallel noise contribution in the CSA, reducing the energy loss in the shaper feedback capacitance and achieving an amplitude resolution of 1.87% FWHM therefore. The CSA and shaping module achieved a charge to a voltage conversion factor of 546.56 mV/MeV and 920.66 mV/MeV, respectively, verified by the Monte Carlo simulation results, and it is therefore compatible with the state-of-the-art. With a supply voltage of 3.3 V, the readout circuit consumes a maximum power of 8.72 µW and occupied a very low die area of 0.0205 mm2. The theoretical analyses together with the post-layout simulations allowed us to prove the functionalities and performance metric of the proposed front-end for ultra-low power and low-noise ROIC for pixel-strip sensors.

Author Contributions

Conceptualization, W.T.E. and E.Z.B.; methodology, F.K.J.; validation, F.K.J.; writing—original draft preparation, F.K.J. and M.A.S.B.; writing—review and editing, M.L.C., A.C., M.B.I.R., and M.A.S.B.; supervision, M.L.C., A.C., and M.B.I.R. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by Xiamen University Malaysia, grant number XMUMRF/2018-C2/IECE/0002 and partially funded by Universiti Kebangsaan Malaysia, grant numbers MI-2020-002 and DIP-2020-004.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Acknowledgments

The support from the ICTP/IAEA Sandwich Training Educational Programme for this research is gratefully acknowledged.

Conflicts of Interest

The authors declare no conflict of interest. The funders had no role in the design of the study; in the collection, analyses, or interpretation of data; in the writing of the manuscript, or in the decision to publish the results.

References

  1. Fahim, F.; Bianconi, S.; Rabinowitz, J.; Joshi, S.; Mohseni, H. Dynamically Reconfigurable Data Readout of Pixel Detectors for Automatic Synchronization with Data Acquisition Systems. Sensors 2020, 20, 2560. [Google Scholar] [CrossRef]
  2. Ceresa, D.; Kloukinas, K.; Kaplon, J.; Caratelli, A.; Scarfì, S. Readout Architecture for the Pixel-Strip Module of the CMS Outer Tracker Phase-2 Upgrade; Technical Report; SISSA: Trieste, Italy, 2017. [Google Scholar]
  3. Jung, S.-J.; Hong, S.-K.; Kwon, O.-K. A Readout IC Using Two-Step Fastest Signal Identification for Compact Data Acquisition of PET Systems. Sensors 2016, 16, 1748. [Google Scholar] [CrossRef] [Green Version]
  4. William, R.L. Techniques for Nuclear and Particle Physics Experiments, a How-To Approach, 2nd ed.; Springer: Berlin/Heidelberg, Germany, 1994; pp. 233–296. [Google Scholar]
  5. Ghosh, S.S. Highlights from the Compact Muon Solenoid (CMS) Experiment. Universe 2019, 5, 28. [Google Scholar] [CrossRef] [Green Version]
  6. Jérôme, F.K.; Evariste, W.T.; Bernard, E.Z.; Crespo, M.L.; Cicuttin, A.; Reaz, M.B.I.; Bhuiyan, M.A.S.; Chowdhury, M.E.H. A 0.35 μm low-noise stable charge sensitive amplifier for silicon sensors applications. Inf. MIDEM 2020, 50, 3–13. [Google Scholar]
  7. Seidel, S. Silicon strip and pixel detectors for particle physics experiments. Phys. Rep. 2019, 828, 1–34. [Google Scholar] [CrossRef]
  8. Park, K.; Kim, S.M.; Eom, W.-J.; Kim, J.J. A Reconfigurable Readout Integrated Circuit for Heterogeneous Display-Based Multi-Sensor Systems. Sensors 2017, 17, 759. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  9. Lee, C.; Cho, G.; Unruh, T.; Hur, S.; Kwon, I. Integrated Circuit Design for Radiation-Hardened Charge-Sensitive Amplifier Survived up to 2 Mrad. Sensors 2020, 20, 2765. [Google Scholar] [CrossRef]
  10. Dmitrii, V.A.; Gennady, G.B.; Vladimir, V.A.; Alexander, A.S. Use of high-field electron injection into dielectrics to enhance functional capabilities of radiation MOS sensors. Sensors 2020, 20, 2382. [Google Scholar]
  11. Jiang, W.; Chalich, Y.; Deen, M.J. Sensors for Positron Emission Tomography Applications. Sensors 2019, 19, 5019. [Google Scholar] [CrossRef] [Green Version]
  12. Abbene, L.; Gerardi, G. High Resolution X-ray spectroscopy with compound semiconductor detectors and digital pulse processing systems. Intech Open 2012, 39–64. [Google Scholar]
  13. Schioppa, E.J.; Idarraga, J.; Beuzekom, M.V.; Visser, J.; Koffeman, E.; Heijne, E.; Engel, K.J.; Uher, J. Study of charge diffusion in a silicon sensor using an energy sensitive pixel readout chip. IEEE Trans. Nucl. Sci. 2015, 62, 2349–2359. [Google Scholar] [CrossRef] [Green Version]
  14. Peric, I. A novel monolithic pixel sensor implemented in high-voltage CMOS technology. In Proceedings of the IEEE Nuclear Science Symposium Conference Record, Honolulu, HI, USA, 26 October–3 November 2007; pp. 1033–1039. [Google Scholar]
  15. Orshanky, M.; Nassif, S.; Boning, D. Design for Manufacturability and Statistical Design: A Constructive Approach Integrated Circuits and Systems; Springer: Boston, MA, USA, 2008. [Google Scholar]
  16. Faccio, F.; Cervelli, G. Radiation-induced edge effects in deep submicron CMOS transistors. IEEE Trans. Nucl. Sci. 2005, 52, 2413–2420. [Google Scholar] [CrossRef] [Green Version]
  17. Tang, T.; Goh, W.L.; Yao, L.; Cheong, J.H.; Gao, Y. An integrated multichannel neural recording analog front-end ASIC with area-efficient driven right leg circuit. In Proceedings of the 2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Jeju, Korea, 11–15 July 2017; pp. 217–220. [Google Scholar]
  18. Noulis, T.; Siskos, S.; Sarrabayrouse, G. Noise optimized charge-sensitive CMOS amplifier for capacitive radiation sensors. IET Circuits Devices Syst. 2008, 2, 324–334. [Google Scholar] [CrossRef]
  19. Bhuiyan, M.A.S.; Badal, T.I.; Reaz, M.B.I.; Crespo, M.L.; Cicuttin, A. Design Architectures of the CMOS Power Amplifier for 2.4 GHz ISM Band Applications: An Overview. Electronics 2019, 8, 477. [Google Scholar] [CrossRef] [Green Version]
  20. Pezzotta., A.; Costantini, A.; Matteis, M.D.; D’Amico, S.; Gorini, G.; Murtas, F.; Baschirotto, A. A low-power CMOS 0.13 µm charge-sensitive preamplifier for GEM sensors. In Proceedings of the 2013 International Conference on IC Design & Technology, Pavia, Italy, 29–31 May 2013; pp. 147–150. [Google Scholar]
  21. Cheng, Q.; Li, W.; Tang, X.; Guo, J. Design and analysis of three-stage amplifier for driving pF-to-nF capacitive load based on local Q-factor control and cascode miller compensation techniques. Electronics 2019, 8, 572. [Google Scholar] [CrossRef] [Green Version]
  22. Wang, H.; Britton, C.; Quaiyum, F.; Pullano, S.A.; Taylor, L.; Fiorillo, A.S.; Islam, S.K. A Charge Sensitive Pre-Amplifier for Smart Point-of-Care Devices Employing Polymer-Based Lab-on-a-Chip. IEEE Trans. Circuits Syst. II Express Briefs 2018, 65, 984–988. [Google Scholar] [CrossRef]
  23. Song, H.; Park, Y.; Kim, H.; Ko, H. Fully Integrated Biopotential Acquisition Analog Front-End IC. Sensors 2015, 15, 25139–25156. [Google Scholar] [CrossRef] [Green Version]
  24. Baschirotto, A.; Cocciolo, G.; De Matteis, M.; Giachero, A.; Gotti, C.; Maino, M.; Pessina, G. A fast and low noise charge sensitive preamplifier in 90 nm CMOS technology. J. Instrum. 2012, 7, C01003. [Google Scholar] [CrossRef]
  25. Gordon, R.G. Practical Gamma-Ray Spectrometry, 2nd ed.; John Wiley & Sons: Hoboken, NJ, USA, 2008; pp. 61–99, 279–293. [Google Scholar]
  26. Zubrzycka, W.; Kasinski, K. All-programmable low noise readout ASIC for silicon strip sensors in tracking detectors. Nucl. Instrum. Methods Phys. Res. Sect. A Accel. Spectrometers Detect. Assoc. Equip. 2021, 988, 164892. [Google Scholar] [CrossRef]
  27. Andreou, C.M.; González-Castaño, D.M.; Gerardin, S.; Bagatin, M.; Rodriguez, F.G.; Paccagnella, A.; Prokofiev, A.V.; Javanainen, A.; Virtanen, A.; Liberali, V.; et al. Low-Power, Subthreshold Reference Circuits for the Space Environment: Evaluated with γ-rays, X-rays, Protons and Heavy Ions. Electronics 2019, 8, 562. [Google Scholar] [CrossRef] [Green Version]
  28. Pude, M.; Mukund, P.; Singh, P.; Paradis, K.; Burleson, J. Amplifier gain enhancement with positive feedback. In Proceedings of the 2010 53rd IEEE International Midwest Symposium on Circuits and Systems, Seattle, WA, USA, 1–4 August 2010; pp. 981–984. [Google Scholar] [CrossRef]
  29. Dadashi, A.; Sadrafshari, S.; Hadidi, K.; Khoei, A. An enhanced folded cascode Op-Amp using positive feedback and bulk amplification in 0.35 μm CMOS process. Analog. Integr. Circuits Signal Process. 2010, 67, 213–222. [Google Scholar] [CrossRef]
  30. Dadashi, A.; Sadraf Shari, S.; Hadidi, K.; Khoei, A. Fast-settling CMOS op-amp with improved DC-gain. Analog Integr. Circuits Signal Process. 2012, 70, 283–292. [Google Scholar] [CrossRef]
  31. Safarian, M.R.; Moradi, G.; Toos, S.I. Gain and bandwidth enhancement in CMOS low voltage low-power operational amplifiers. Int. J. Comput. Appl. 2019, 177, 8–14. [Google Scholar]
  32. Millman, J.; Grabel, A. Microelectronics, 2nd ed.; Electronics Engineering Series; Mc Graw-Hill International: Singapore, 1987; pp. 564–602, 662–668. [Google Scholar]
  33. O’Connor, P.; De Geronimo, G. Prospects for charge sensitive amplifiers in scaled CMOS. In Nuclear Instruments and Methods in Physics Research Section A: Accelerators, Spectrometers, Detectors and Associated Equipment; Elsevier: New York, NY, USA, 2002; Volume 480, pp. 713–725. [Google Scholar] [CrossRef]
  34. Chakraborty, S.; Pandey, A.; Nath, V. Ultra high gain CMOS Op-Amp design using self-cascoding and positive feedback. Microsyst. Technol. 2016, 23, 541–552. [Google Scholar] [CrossRef]
  35. Inaba, Y.; Nakamura, M.; Zuguchi, M.; Chida, K. Development of Novel Real-Time Radiation Systems Using 4-Channel Sensors. Sensors 2020, 20, 2741. [Google Scholar] [CrossRef] [PubMed]
  36. Valente, V.; Demosthenous, A. Wideband Fully-Programmable Dual-Mode CMOS Analogue Front-End for Electrical Impedance Spectroscopy. Sensors 2016, 16, 1159. [Google Scholar] [CrossRef] [Green Version]
  37. Rogalski, A.; Bielecki, Z.; Mikolajczyk, J. Detection of optical radiation. In Handbook of Optoelectronics; CRC Press: Warsaw, Poland, 2017; Volume 52, pp. 65–124. [Google Scholar]
  38. Kmon, P. Low-power low-area techniques for multichannel recording circuits dedicated to biomedical experiments. Bull. Pol. Acad. Sci. Tech. Sci. 2016, 64, 615–624. [Google Scholar] [CrossRef]
  39. McGregor, D.S. IMR-MIP: High-Detection-Efficiency and High-Spatial Resolution Thermal Neutron Imaging System for the Spallation Neutron Source Using Pixelated Semiconductor Neutron Sensors. NSF Grant Proposal Number 0412208, 31 August 2008. [Google Scholar]
  40. Geronimo, G.D.; Li, S. Shaper design in CMOS for high dynamic range. IEEE Trans. Nucl. Sci. 2011, 58, 2382–2390. [Google Scholar] [CrossRef]
  41. Re, V.; Manghisoni, M.; Ratti, L.; Speziali, V.; Traversi, G. Survey of noise performances and scaling effects in deep submicron CMOS devices from different foundries. In Proceedings of the IEEE Symposium Conference Record Nuclear Science 2004, Rome, Italy, 16–22 October 2004; Volume 13, pp. 68–72. [Google Scholar]
  42. Streel, G.D.; Bol, D. Study of back biasing schemes for ULV logic from the gate level to the IP level. J. Low Power Electron. Appl. 2014, 4, 168–187. [Google Scholar] [CrossRef] [Green Version]
  43. Ro, D.; Min, C.; Kang, M.; Chang, I.J.; Lee, H.-M. A Radiation-Hardened SAR ADC with Delay-Based Dual Feedback Flip-Flops for Sensor Readout Systems. Sensors 2019, 20, 171. [Google Scholar] [CrossRef] [Green Version]
  44. Jendernalik, W.; Jakusz, J.; Blakiewicz, G.; Piotrowski, R.; Szczepański, S. CMOS realisation of analogue processor for early vision processing. Bull. Pol. Acad. Sci. Tech. Sci. 2011, 59, 141–147. [Google Scholar] [CrossRef] [Green Version]
  45. Zhao, H.; Liu, X. Modeling of a standard 0.35 µm CMOS technology Operating from 77K to 300K. Cryogenics 2014, 59, 49–59. [Google Scholar] [CrossRef]
  46. Bertuccio, G.; Caccia, S. Progress in ultra-low-noise ASICs for radiation sensors. Nucl. Instrum. Methods Phys. Res. Sect. A 2007, 579, 243–246. [Google Scholar] [CrossRef]
  47. Dao, N.C.; El Kass, A.; Azghadi, M.R.; Jin, C.T.; Scott, J.B.; Leong, P.H. An enhanced MOSFET threshold voltage model for the 6–300K temperature range. Microelectron. Reliab. 2017, 69, 36–39. [Google Scholar] [CrossRef] [Green Version]
  48. Seljak, A.; Cumming, H.S.; Varner, G.; Vallerga, J.; Raffanti, R.; Virta, V. A fast, low power and low noise charge sensitive amplifier ASIC for a UV imaging single photon sensor. J. Instrum. 2017, 7, C01003. [Google Scholar]
  49. Kawamura, T.; Orita, T.; Takeda, S.; Watanabe, S.; Ikeda, H.; Takahashi, T. Development of a low-noise front-end ASIC for CdTe detectors. Nucl. Instrum. Methods Phys. Res. Sect. A Accel. Spectrometers Detect. Assoc. Equip. 2020, 982, 164575. [Google Scholar] [CrossRef]
  50. Ciciriello, F.; Corsi, F.; Robertis, G.D.; Felici, G.; Loddo, F.; Marzocca, C.; Matarrese, G.; Ranieri, A. A new front-end asic for GEM sensors with time and charge measurement capabilities. Nucl. Instrum. Methods Phys. Res. Sect. A 2016, 824, 265–267. [Google Scholar] [CrossRef]
  51. Baur, R.; Ernst, P.; Gramegna, G.; Richter, M. Frond-electronics for the CERES TPC-sensor. Nucl. Instrum. Methods Phys. Res. Sect. A 1998, 409, 278–285. [Google Scholar] [CrossRef]
  52. Pichler, B.; Pimpl, W.; Büttler, W.; Kotoulas, L.; Böning, G.; Rafecas, M.; Lorenz, E.; Ziegler, S. Integrated low-noise low-power fast charge-sensitive preamplifier for avalanche photodiodes in JFET-CMOS technology. IEEE Trans. Nucl. Sci. 2001, 48, 2370–2374. [Google Scholar] [CrossRef]
  53. Chawla, T.; Marchal, S.A.; Amara, A.; Vladimirescu, A. Local mismatch in 45 nm digital clock networks. In Proceedings of the 12th International Symposium on Integrated Circuits, Singapore, 14–16 December 2009; pp. 466–469. [Google Scholar]
  54. Llopart, X.; Alozy, J.; Ballabriga, R.; Campbell, M.; Egidos, N.; Fernandez, J.M.; Heijne, E.; Kremastiotis, I.; Santin, E.; Tlustos, L.; et al. Study of low power front-ends for hybrid pixel sensors with sub-ns time tagging. J. Instrum. 2019, 14, C01024. [Google Scholar] [CrossRef]
  55. Pullia, A.; Capra, S. Experimental performance of a highly innovative low-noise charge-sensitive preamplifier with integrated range-boosted. J. Instrum. 2018, 13, C12004. [Google Scholar] [CrossRef]
  56. Folla, J.K.; Crespo, M.L.; Wembe, E.T.; Bhuiyan, M.A.S.; Cicuttin, A.; Essimbi, B.Z.; Reaz, M.B.I. A low-offset low-power and high-speed dynamic latch comparator with a preamplifier-enhanced stage. IET Circuits Devices Syst. 2021, 15, 65–77. [Google Scholar] [CrossRef]
  57. Qi, M.; Guo, A.-Q.; Qiao, D.-H. A High-Temperature, Low-Noise Readout ASIC for MEMS-Based Accelerometers. Sensors 2019, 20, 241. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  58. Prayer, P.; Blagojevic, B.; Haendler, M.; Valentian, S.; Amara, A.; Flatresse, P. Performance analysis of multi-VT design solutions in 28 nm UTBB FD-SOI technology. In Proceedings of the 2013 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Monterey, CA, USA, 7–10 October 2013; pp. 1–2. [Google Scholar]
  59. Karel, A.; Comte, M.; Galliere, J.-M.; Azais, F.; Renovell, M. Impact of VT and Body-Biasing on Resistive Short Detection in 28 nm UTBB FDSOI—LVT and RVT Configurations. In Proceedings of the 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Pittsburgh, PA, USA, 11–13 July 2016; pp. 164–169. [Google Scholar] [CrossRef]
  60. Sharrouh, S.M. Design of CMOS inverter-based amplifier: A quantitative approach. Int. J. Circuit Theory Appl. 2019, 47, 1006–1036. [Google Scholar] [CrossRef]
Figure 1. (a) The building blocks of the Compact Muon Solenoid (CMS) [5]. (b) Principle of operation of a silicon pixel-strip sensor [7].
Figure 1. (a) The building blocks of the Compact Muon Solenoid (CMS) [5]. (b) Principle of operation of a silicon pixel-strip sensor [7].
Sensors 21 01760 g001
Figure 2. Pixel-strip sensor readout architecture for digital processing, the Charge Sensitive Amplifier (CSA) is used for extracting the charge at each strip and convert it into voltage.
Figure 2. Pixel-strip sensor readout architecture for digital processing, the Charge Sensitive Amplifier (CSA) is used for extracting the charge at each strip and convert it into voltage.
Sensors 21 01760 g002
Figure 3. Schematic of the structure of the proposed CSA.
Figure 3. Schematic of the structure of the proposed CSA.
Sensors 21 01760 g003
Figure 4. The small-signal model of the core Miller compensation with zero nulling resistors (MCNR) amplifier.
Figure 4. The small-signal model of the core Miller compensation with zero nulling resistors (MCNR) amplifier.
Sensors 21 01760 g004
Figure 5. Graphical demonstration of the Open-loop gain (OLG) and Closed-loop gain (CLG) of the third-order system with a single-pole dominant pole.
Figure 5. Graphical demonstration of the Open-loop gain (OLG) and Closed-loop gain (CLG) of the third-order system with a single-pole dominant pole.
Sensors 21 01760 g005
Figure 6. Schematic of the proposed structure of the Shaper.
Figure 6. Schematic of the proposed structure of the Shaper.
Sensors 21 01760 g006
Figure 7. Influence of bias current (Ibias) on the Open-loop gain.
Figure 7. Influence of bias current (Ibias) on the Open-loop gain.
Sensors 21 01760 g007
Figure 8. Bandwidth compensation using feedback lead network-based a MOSFET resistor; which allows achieving high stability.
Figure 8. Bandwidth compensation using feedback lead network-based a MOSFET resistor; which allows achieving high stability.
Sensors 21 01760 g008
Figure 9. CSA Input-Referred Noise.
Figure 9. CSA Input-Referred Noise.
Sensors 21 01760 g009
Figure 10. ENCth as a function of W and drain current (Id) [6].
Figure 10. ENCth as a function of W and drain current (Id) [6].
Sensors 21 01760 g010
Figure 11. Equivalent Noise Charge (ENC) as a function of W.
Figure 11. Equivalent Noise Charge (ENC) as a function of W.
Sensors 21 01760 g011
Figure 12. ENCth versus different design parameters: (a) as a function of W for different sets of the input device drain current; (b) as a function of W for different sets of the shaping time; (c) as a function of the input device drain current for various peaking time; (d) versus the peaking time for different sets of the input transistor drain current.
Figure 12. ENCth versus different design parameters: (a) as a function of W for different sets of the input device drain current; (b) as a function of W for different sets of the shaping time; (c) as a function of the input device drain current for various peaking time; (d) versus the peaking time for different sets of the input transistor drain current.
Sensors 21 01760 g012
Figure 13. Effect of the CSA gain bandwidth (GBW) on ENCth for the different input gate widths.
Figure 13. Effect of the CSA gain bandwidth (GBW) on ENCth for the different input gate widths.
Sensors 21 01760 g013
Figure 14. CSA output voltage for different input charge.
Figure 14. CSA output voltage for different input charge.
Sensors 21 01760 g014
Figure 15. Pulse shaper (PS) output voltage for different input charge.
Figure 15. Pulse shaper (PS) output voltage for different input charge.
Sensors 21 01760 g015
Figure 16. Histogram of output voltage for high charge production rate.
Figure 16. Histogram of output voltage for high charge production rate.
Sensors 21 01760 g016
Figure 17. Histogram of power consumption against process variation.
Figure 17. Histogram of power consumption against process variation.
Sensors 21 01760 g017
Figure 18. Histograms of the conversion gain for both (a) the CSA and (b) the PS.
Figure 18. Histograms of the conversion gain for both (a) the CSA and (b) the PS.
Sensors 21 01760 g018
Figure 19. Validation of the design performance in terms of ENC, power consumption, and shaping time.
Figure 19. Validation of the design performance in terms of ENC, power consumption, and shaping time.
Sensors 21 01760 g019
Figure 20. Core layout of the proposed readout FEE.
Figure 20. Core layout of the proposed readout FEE.
Sensors 21 01760 g020
Table 1. Required CSA specifications for silicon sensors for two vendors [6].
Table 1. Required CSA specifications for silicon sensors for two vendors [6].
Vendor ParametersHamamatsu (H4083)AMPTEK (A250)
Power consumption50 mW@12 V14 m W@6 V
Count rate2.6 MHz2.5 MHz
Sensor capacitance0–25 pF0–250 pF
ENC (Cin = 5 pF)240 e6 e
Noise slope4 e/pF11.5 e/pF
Sensitivity22 mV/MeV (Si)176 mV/MeV (Si)
DC gain94 dB76 dB
Table 2. Design parameters of the proposed Front-End Electronics.
Table 2. Design parameters of the proposed Front-End Electronics.
Transistor W/L(µm/µm)gmk Value (µS)Capacitance/λ
M1—62.5/10.5gm1—61.4CL = 1 pF
M2—0.84/0.35
M3—18/0.35
M4,5,6—12/0.35
gm2—12.28
gm3—50
gm4,5,6—20
Cm = 50 fF
CF = 100 fF
C1 = 0.74 fF
M7—9/0.35gm7—200C2 = 1.82 fF
M8,9—10/0.35
MF—3/36
MP—2.772/0.7
Md—2/23.6
gm8,9—12
gmF—13.13
gmF—704.2
gmd—2.88
Cd = 534 fF
Ci = 200 fF
CL2 = 1 pF
Mi—10/41gmi—3.27λ = 0.0746
M1sh—3/20
M2sh—0.63/59.25
gmish—4.67
gm2sh—0.467
g03,08—0.1865
Table 3. Performance comparison of the proposed Front-End Electronics.
Table 3. Performance comparison of the proposed Front-End Electronics.
ParametersThis Work[26][49][45][17][22]
CMOS Technology0.35 µm0.18 µm0.35 µm0.13 µm0.35 µm0.18 µm
Power Supply3.3 V1.8 V±1.65 V1.2 V3.3 V1.8 V
Power Consumption8.72 µW8.7 mW2.1 mW4.8 mW--2.1 µW
Input Parasitic Capacitance0.2–2 pF0.1 pF17 pF5 pF10 pF-
Gain/Operating Bandwidth88 dB/1 GHz--/9.1 GHz----60 dB/5.1 kHz-
ENC37.6 e + 16.32 e /pF278.2 e + 26.6 e/pF58.4 e + 12.7 e/pF600 e + 100 e/pF650 e-
Amplifier Gain (mV/MeV)546.56/920.66513.67/1740.29366.45550.96826.450.044
Active area (mm2)0.02050.09347.640.72250.750.038
Input Dynamic
Peaking time
0–280 fC
214 ns
0–15 fC
40 ns/250 ns
6 fC
500 ns/2 us
0–60 fC
100 ns
80 fC
---
450 pC
---
Figure of merit (FOM) (MHz/µW)116.821.05--0.002-14.29
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Jérôme, F.K.; Evariste, W.T.; Bernard, E.Z.; Crespo, M.L.; Cicuttin, A.; Reaz, M.B.I.; Bhuiyan, M.A.S. An 8.72 µW Low-Noise and Wide Bandwidth FEE Design for High-Throughput Pixel-Strip (PS) Sensors. Sensors 2021, 21, 1760. https://doi.org/10.3390/s21051760

AMA Style

Jérôme FK, Evariste WT, Bernard EZ, Crespo ML, Cicuttin A, Reaz MBI, Bhuiyan MAS. An 8.72 µW Low-Noise and Wide Bandwidth FEE Design for High-Throughput Pixel-Strip (PS) Sensors. Sensors. 2021; 21(5):1760. https://doi.org/10.3390/s21051760

Chicago/Turabian Style

Jérôme, Folla Kamdem, Wembe Tafo Evariste, Essimbi Zobo Bernard, Maria Liz Crespo, Andres Cicuttin, Mamun Bin Ibne Reaz, and Mohammad Arif Sobhan Bhuiyan. 2021. "An 8.72 µW Low-Noise and Wide Bandwidth FEE Design for High-Throughput Pixel-Strip (PS) Sensors" Sensors 21, no. 5: 1760. https://doi.org/10.3390/s21051760

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop