Next Article in Journal
Multimodal Sensing for Depression Risk Detection: Integrating Audio, Video, and Text Data
Previous Article in Journal
Video Abnormal Behavior Recognition and Trajectory Prediction Based on Lightweight Skeleton Feature Extraction
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Spectral Efficiency Maximization for Mixed-Structure Cognitive Radio Hybrid Wideband Millimeter-Wave Transceivers in Relay-Assisted Multi-User Multiple-Input Multiple-Output Systems

by
Hafiz Muhammad Tahir Mustafa
1,2,
Jung-In Baik
1,2,
Hyoung-Kyu Song
1,2,*,
Muhammad Adnan
3 and
Waqar Majeed Awan
4
1
Department of Information and Communication Engineering, Sejong University, Seoul 05006, Republic of Korea
2
Department of Convergence Engineering for Intelligent Drone, Sejong University, Seoul 05006, Republic of Korea
3
Department of Computer Science (SST), University of Management and Technology, Lahore 54770, Pakistan
4
Department of Electrical Engineering, University of Management and Technology, Lahore 54770, Pakistan
*
Author to whom correspondence should be addressed.
Sensors 2024, 24(12), 3713; https://doi.org/10.3390/s24123713
Submission received: 14 April 2024 / Revised: 31 May 2024 / Accepted: 4 June 2024 / Published: 7 June 2024
(This article belongs to the Section Communications)

Abstract

:
This paper proposes a cognitive radio network (CRN)-based hybrid wideband precoding for maximizing spectral efficiency in millimeter-wave relay-assisted multi-user (MU) multiple-input multiple-output (MIMO) systems. The underlying problem is NP-hard and non-convex due to the joint optimization of hybrid processing components and the constant amplitude constraint imposed by the analog beamformer in the radio frequency (RF) domain. Furthermore, the analog beamforming solution common to all sub-carriers adds another layer of design complexity. Two hybrid beamforming architectures, i.e., mixed and fully connected ones, are taken into account to tackle this problem, considering the decode-and-forward (DF) relay node. To reduce the complexity of the original optimization problem, an attempt is made to decompose it into sub-problems. Leveraging this, each sub-problem is addressed by following a decoupled design methodology. The phase-only beamforming solution is derived to maximize the sum of spectral efficiency, while digital baseband processing components are designed to keep interference within a predefined limit. Computer simulations are conducted by changing system parameters under different accuracy levels of channel-state information (CSI), and the obtained results demonstrate the effectiveness of the proposed technique. Additionally, the mixed structure shows better energy efficiency performance compared to its counterparts and outperforms benchmarks.

1. Introduction

Future wideband mobile wireless networks need to accommodate the explosively growing demand for ultra-high-speed data transmission. This requirement leads to the exploration of the millimeter-wave (mm-wave) frequency band (30–300 GHz), owing to its advantage of containing huge spectral resources [1]. Furthermore, mm-wave technology with large-scale multi-input multi-output (MIMO) (also referred to as massive MIMO) systems are two key findings to address the spectrum crunch and enhance spectral and energy efficiencies [2]. Therefore, it is possible to realize several newly emerging applications, such as smart environments, autonomous vehicles, industrial and agriculture automation, remote healthcare, high-quality three-dimensional (3D) video, holographic imaging, virtual reality, and augmented reality [3,4]. These applications essentially demand low latency, higher data rates, and enhanced connectivity. Additionally, innovative signal processing techniques are required to make mm-wave communication feasible on commercial grounds [5]. There are several reasons behind that, such as new hardware constraints, operations at higher frequencies and large bandwidths, mm-wave channel impairments, advanced circuit implementation, and power consumption challenges to deploy large-scale antenna arrays to attain high beamforming gain [6].
In the forthcoming wireless systems (e.g., beyond the 5th generation (B5G) networks), the inevitable proliferation of connected devices may lead to spectral congestion. Therefore, efficient utilization of available frequency resources is required to avoid this situation [7,8]. It was observed in the measurement campaign that conventional fixed license-based static spectrum allocation policies decrease spectrum utilization efficiency to a great extent [9,10,11]. To resolve this issue, a spectrum-sharing technique is suggested to enhance the efficiency of spectrum utilization, and this sharing mechanism is based on dynamic spectrum allocation policies [12,13]. Cognitive radio (CR) is a feasible solution that makes it possible to support dynamic spectrum access, allowing secondary users (SUs) to utilize licensed spectrum while ensuring the quality of service (QoS) of primary users (PUs) [14]. Just as minimizing the impact of interference on PUs caused by the SUs is important, it is also desirable to minimize the effect of interference on the SU due to the PU in CR communication. This task can be achieved by regulating the power transmission at the respective unit [15,16,17]. To maximize spectrum utilization in B5G wireless networks, it seems crucial to combine the dynamic spectrum allocation strategy with mm-wave transmission. Specifically, novel applications from diverse fields, such as wireless backhaul, the Internet of Things (IoT), and intelligent transportation systems, can be realized by exploiting the adaptability of CR technology combined with the advantages of mm-wave frequencies [18].
It is worth noting that the characteristics of mm-wave channels are different compared to the lower part of the frequency spectrum due to the smaller wavelength of transmitted signals. For instance, huge path loss, limited propagation paths, lower diffraction, and highly directional wireless channels are associated with mm-wave frequencies [19]. To overcome the poor characteristics of mm-wave channels, the combination of large-scale MIMO and hybrid beamforming is considered the most attractive solution [20]. In particular, massive MIMO can achieve significant beamforming gain, while hybrid processing reduces hardware complexity, cost, and power consumption by significantly reducing the number of RF chains. However, hybrid precoding design in practical wideband systems is a challenging task, as it requires the analog RF precoder and combiner common to all sub-carriers [21]. In mm-wave transmission, there is a definite need to address the blockage sensitivity of signals. This impairment makes it quite difficult to establish a reliable non-line-of-sight (NLOS) communication link due to excessive path loss [22]. Fortunately, relay-assisted transmission can facilitate decreasing transmission power and enhance network coverage, link quality, and communication range [23,24]. In conclusion, relay-assisted MIMO communication networks improve the overall performance, especially at the edge of the cell.
It is worth highlighting that conventional fully digital beamforming results in prohibitive hardware complexity and cost for large-scale MIMO systems, as this precoding demands the same number of RF chains as the number of antennas in an array [25,26]. Among other alternatives, hybrid beamforming has been widely investigated to provide a good trade-off between complexity and performance. In a hybrid architecture, the beamforming process is divided into the digital baseband and the analog RF domains. This precoding strategy significantly decreases the number of RF chains due to the low-dimensional digital baseband processing components [27]. Moreover, multiplexing gain is achieved through the baseband processing part, while beamforming gain is obtained via the RF processing unit. Note that a network of phase shifters can be employed for the practical implementation of the analog RF beamformer [28]. In general, hybrid beamforming architectures can be categorized as (1) a fully connected structure where all the RF chains are connected to each antenna in an array—this structure enables each RF chain to achieve its full beamforming gain—and (2) a partially connected structure where each RF chain is connected to a non-overlapping subset of the antennas [29]. A sub-connected architecture uses less phase shifters for a given number of antennas and RF chains in comparison to a fully connected one. Therefore, the partially connected architecture reduces hardware implementation complexity and provides a cost-effective and energy-efficient hybrid precoding solution, but at the cost of reducing some beamforming gain [30]. Contrary to the fully connected structure, it is challenging to sustain fine control over the beam with the sub-connected structure, which can lead to less accurate beamforming. Furthermore, the partially connected architecture limits the flexibility of large antenna arrays, which may cause a significant reduction in performance [31,32]. It is worth noting that orthogonal frequency division multiplexing (OFDM) can support substantially higher data rates over a wide frequency range, making it a suitable choice for CRN. Hence, it is required to design a hybrid broadband mm-wave transceiver for the CRN-based MU-MIMO OFDM systems. Also, an energy-efficient solution can be obtained using a mixed hybrid structure rather than a fully connected one. To derive efficient hybrid processing components at different communicating nodes by taking interference at the PU into consideration is quite a challenging aspect of this problem.

1.1. Related Work

The works in [33,34,35,36,37,38,39] illustrate that the highly directional beamforming capability of mm-wave technology can improve user data rates and effectively mitigate interference simultaneously. From the perspective of spectrum sharing, these features of mm-wave transmission are quite useful. The authors of [33] analytically prove that license sharing among operators can enhance the performance of mm-wave systems. In comparison to the exclusive license model, the authors in [34] demonstrate that performance gains might be increased by up to 130% using spectrum-sharing techniques. An optimization framework is proposed in [36] for mm-wave CRN by incorporating joint beamforming to maximize the capacity and fairness of users, base-station (BS) association, and coordination. In [39], the authors examine the performance of spectrum-sharing systems in the 26 GHz and 70 GHz frequency bands, considering both ideal and non-ideal beamforming approaches in a real propagation environment. Based on their research, a spectrum-sharing system can achieve a capacity that is two to three times higher than an exclusive licensing network. Nevertheless, these advantages are unlikely to be achieved due to beamforming errors and poor interference mitigation techniques, particularly in situations with a low signal-to-interference plus noise ratio (SINR). The authors in [40] suggest a technique for interference management in a spectrum-sharing network, and its viability is also proved through computer simulations.
Hybrid precoding techniques have been extensively examined in both single-user (SU) and MU-MIMO communication networks. In [41,42,43,44,45,46,47,48,49,50,51], the authors propose hybrid beamforming algorithms for wideband mm-wave MIMO systems. In [41], the authors develop a principal component analysis (PCA)-based hybrid broadband beamforming algorithm, where a frequency-selective fully digital precoder is employed to design the frequency-independent analog RF beamformer. Additionally, this work is restricted to SU-MIMO-OFDM networks. The authors in [42] develop a hybrid precoding method for mm-wave SU-MIMO and MU multi-input single-output (MU-MISO) systems. This technique can achieve performance equal to the corresponding fully digital beamforming, provided the number of RF chains doubles the number of transmitted data streams. In [43], the authors propose the OFDM-based hybrid transceiver for massive MIMO systems, and this work is an extension of the previous algorithm in [42] to make it compatible with practical broadband systems. Based on statistical channel information, a practical, low-complexity hybrid precoding technique is suggested in [47], which employs an efficient searching algorithm to select the best possible beamforming vectors from codebooks. The constrained Tucker2 tensor decomposition technique is used in [48] to design the hybrid broadband transceiver for mm-wave MU-MIMO communication networks. Furthermore, the focus of this scheme is to enhance the capacity of the equivalent baseband channels by minimizing the impact of inter-user interference (IUI). In [50], the authors exploit an alternating minimization algorithm for phase extraction to approximate the full-complexity matrix from the corresponding analog processing component, considering mm-wave MU-MIMO communication networks. The authors of [51] propose alternating minimization (Alt-Min) algorithms to address hybrid beamforming for mm-wave MIMO systems using frequency-selective channels. The authors in [52] suggest the orthogonal matching pursuit (OMP) algorithm for hybrid precoding design by assuming frequency-flat channels. Moreover, this technique exploits the sparsity of mm-wave channels to derive the analog RF and digital baseband processing components.
In [53,54], the authors investigate relay-assisted hybrid precoding for maximizing spectral efficiency in single-user and MU mm-wave massive MIMO networks with a fully connected structure. Moreover, the amplify-and-forward (AF) relaying protocol is considered at the relay station in these designs. The works in [55,56] also focus on relay-based hybrid beamforming for maximizing energy efficiency in single-user mm-wave large-scale MIMO systems using partially connected structures at communicating nodes. Specifically, iterative successive approximation (ISA) and alternating direction method of multiplier (ADMM) algorithms are used to achieve the desired goals in [50,55], respectively. In [57], the authors examine the hybrid transceiver for multi-hop AF relay systems by taking channel errors into account. A mixed hybrid architecture, comprising both partially connected and fully connected structures, is proposed in [58] based on matrix factorization to achieve near-optimal performance. This hybrid precoding strategy shows lower hardware complexity and higher energy efficiency when compared with the corresponding fully connected structure. The authors of [59] present a codebook-based generalized sub-array connected (GSAC) structure for hybrid transceiver design to enhance energy efficiency. The authors in [60] design an analog beamformer that aims to minimize the channel estimation error by leveraging the channel’s long-term properties. Then, the baseband processing matrix is computed by employing simple digital signal processing such as maximum ratio combining/maximum ratio transmission (MRC/MRT). In [61], hybrid beamforming for mm-wave MIMO relay networks is suggested using imperfect CSI, where the AF protocol is adopted at relay nodes. Moreover, both algorithms [60,61] consider fully connected hybrid structures.
Previous works show that substantial research has been conducted on spectrum sharing in mm-wave MIMO systems and hybrid beamforming design in mm-wave MIMO OFDM networks. Nevertheless, hybrid transceivers that integrate the concept of CRN have not been studied extensively. The authors of [62] attempt to leverage spectrum sharing for designing hybrid transceivers by taking backhaul networks into consideration. The hybrid beamforming techniques in [63,64] primarily focus on maximizing the minimum secrecy rate of all SUs while accounting for practical limitations. In [65], the authors propose MU underlay cognitive hybrid transceiver designs while keeping interference to incumbent users within a predefined threshold. This technique is also applicable to both the downlink and uplink, provided that complete CSI is available.
The authors of [54,66,67,68,69,70] primarily focus their attention on designing mm-wave relay-based hybrid precoding for MU-MIMO systems. In [66], the authors investigate the hybrid beamforming technique for sum rate maximization with mixed and fully connected structures using the DF relaying protocol. This design does not support frequency-selective channels, as the RF and baseband processing matrices are derived under narrowband assumptions. The authors in [67] also develop the fully connected hybrid beamforming algorithm, where the coordinated beam alignment procedure is adopted for designing the RF processing matrices. Moreover, a digital baseband solution is obtained using a non-linear precoding method. In [68], the authors also suggest the hybrid precoding method for mm-wave massive MU-MIMO DF relay systems, taking mixed structure into account. This scheme is not suitable for wideband systems, as the analog and digital beamforming components are derived considering frequency-flat fading channels. Moreover, this algorithm shows relatively better performance when compared with the presented scheme in [66]. The authors in [69] propose the hybrid transceiver for mm-wave massive MU-MIMO relay-assisted networks with partially connected structures, considering the AF relaying principle. Just like [66,68], this technique suffers from the same limitations. In particular, the hybrid precoding techniques presented in [66,67,68,69] are not applicable when cognitive radio comes into play. The algorithm proposed in [70] is compatible with CR-based fully connected hybrid transceivers, but there is a definite need to reduce cost, energy, and hardware complexity without significant performance degradation. This work is an attempt to address this gap, considering the mixed hybrid structure to achieve the desired goal. The distinguishing feature of this work compared to similar existing techniques [54,66,67,68,69,70] is illustrated in Table 1.

1.2. Motivation and Contribution

Contrary to the hybrid transceiver designs reported in the literature for relay-assisted MU-MIMO systems [54,66,67,68,69,70], there does not exist any CRN-based hybrid wideband mm-wave beamforming scheme that attempts to maximize the sum spectral efficiency, exploiting a low-complexity and energy-efficient structure. This provides motivation for the proposed hybrid transceiver design. To achieve the desired goal, the presented technique considers a relay-assisted MU-MIMO with a mixed structure, which comprises a combination of partially connected and fully connected architectures. This structure leads to a more practical solution that enhances energy efficiency when compared with the corresponding fully connected architecture. Additionally, the suggested mixed structure achieves sum spectral efficiency close to fully connected hybrid precoding with relatively low hardware complexity. Finally, the underlying hybrid beamforming design also leads to the efficient utilization of spectral resources, as the concept of CRN is also included in the proposed algorithm. The main contribution of this paper is summarized as follows:
  • We investigate the hybrid broadband transceiver for sum spectral efficiency maximization in mm-wave relay-assisted MU-MIMO systems by incorporating the idea of CR technology to avoid inefficient spectrum allocation. The proposed algorithm primarily considers the mixed hybrid structure at the relay node and the partially connected architecture at each SU. This hybrid beamforming problem does not exist in the present literature to the best of the authors’ knowledge. Furthermore, the scenario investigated in this paper is consistent with practical considerations, as the underlying network architecture attempts to utilize the spectral resources efficiently while maximizing the sum rate using a low-complexity and energy-efficient hybrid structure, taking the transmitted power and interference constraints into account.
  • In contrast to the existing hybrid precoding techniques for relay-assisted MU-MIMO systems [54,66,67,68,69,70], the proposed design considers frequency-selective channels, the CR paradigm, and mixed structures simultaneously, which offers great potential for unexplored applications. It is worth mentioning that the formulated optimization problem is non-convex due to the element-wise constant amplitude constraints imposed by the analog processing components. Also, the joint optimization of several complex matrix variables makes the original optimization problem NP-hard. Furthermore, it is required to derive the RF beamforming solution common to all sub-carriers. Consequently, design complexity and the associated computational complexity become prohibitively high. Therefore, the solution to the problem at hand is more challenging.
  • To reduce the complexity of the optimization problem and make it tractable, the proposed algorithm first attempts to decompose the challenging task into two single-hop sum rate maximization sub-problems by exploiting the notion of information theory and the structural characteristics of DF relays. One sub-problem aims to maximize the sum rate from the source to relay decoding, while the other focuses on maximizing the sum spectral efficiency from relay encoding to multiple SUs. Then, a decoupled design approach is followed to drive the analog RF and digital baseband processing components. In particular, the derivation of RF processing components in each sub-problem attempts to maximize the sum rate, while digital baseband processing matrices focus their attention on minimizing interference. Furthermore, the mixed hybrid architecture at the relay node and the partially connected structure at each SU lead to an energy-efficient and low-complexity solution.
  • The spatial wideband effect on the performance of the proposed scheme is also described through computer simulations. Furthermore, the impact of a uniform circular array under high-frequency transmission is also demonstrated using simulation results. Additionally, the proposed scheme also shows less computational complexity when compared with several other existing techniques.
  • Numerical results are generated by changing system parameters over a wide range for both mixed and fully connected structures. Also, the performance curves are obtained under imperfect channel state information (CSI), considering the mixed hybrid architecture. It is evident from the simulation results that the suggested fully connected structure achieves performance close to full-complexity digital precoding, while the mixed hybrid structure shows relatively lower performance compared to the fully connected one. Furthermore, minor degradation in performance occurs when channel estimation error increases gradually. The obtained results also show that the proposed mixed architecture achieves significantly higher energy efficiency when compared to its fully connected counterpart. Finally, the achieved spectral efficiency and energy efficiency demonstrate the effectiveness of the proposed approach.
The rest of the paper is structured as follows: Section 2 describes the system model, the frequency domain mm-wave channel model under consideration, and problem formulation. Section 3 delineates the proposed hybrid transceiver design. The complexity analysis of the suggested technique is briefly discussed in Section 4, where a comparison is also made with other hybrid precoding algorithms. Section 5 presents simulation results for the performance evaluation, and concluding remarks are given in Section 6.
Notation: Upper-case and lower-case boldface letters denote matrices and vectors, respectively. A H , A T , A , A F , | A ( i , j ) | , A ( : , i ) , and A ( : , 1 : j ) represent the conjugate transpose, transpose, element-wise phase, Frobenius norm, element-wise modulus, i -th column, and first j columns of a matrix A , respectively. depicts the field of complex numbers, specifies the field of real numbers, I m shows the identity matrix of order m × m , and C N ( 0 ,   σ 2 I n ) describes the complex Gaussian distribution with mean 0 and covariance matrix σ 2 I n . The determinant and trace of a matrix A are given as det ( A ) and T r ( A ) , respectively. E [ . ] shows the expectation operator, and b d ( A 1 , , A K ) stands for a block diagonal matrix with sub-matrices A 1 , , A K . Table 2 shows the summary of the main symbols.

2. System Model and Problem Formulation

This section describes a system model of the underlying hybrid transceiver with two different structures: (1) a fully connected structure and (2) a mixed architecture. Furthermore, the frequency-domain mm-wave channel model and problem formulation are also given in this section.

2.1. System Model

Consider a downlink of the CRN-based relay-assisted MU-MIMO system with hybrid precoding as illustrated in Figure 1, where the source node, relay station, and the k -th SU are equipped with N t , N r , and N d k antennas, respectively. The number of RF chains installed at the above-mentioned communicating nodes is represented as N t R F , N r R F and N d k R F , respectively. The source node transmits data streams to K SUs through the relay node, while a direct communication link is employed for the PU. It is assumed that the direct transmission link between the source and K SUs is not favorable owing to excessive path loss and deep fading. To transmit N s data streams from the cognitive radio base station (CRBS) to each SU, it is necessary for the source and relay to handle K N s data streams. This requirement leads to the essential conditions K N s min ( N t R F ,   N r R F ) min ( N t ,   N r ) and N s min ( N d k R F ) min ( N d k ) . These conditions enable efficient multi-stream transmission using a significantly small number of RF chains. To avoid relay-induced signal-space collisions, another condition N t   N r k = 1 K N d k also needs to be satisfied.
In relay-based MIMO networks, two time slots are required to complete the transmission from the source to the destination. In the first time slot, the data streams of all intended users are transmitted from the source to the relay node. In the second time slot, the received signal at the relay station is processed and then forwarded to the end users. From this perspective, the communication link from the CRBS to the SUs can be decomposed into a single-user MIMO system (from the source to the relay station) and a MU-MIMO system (from the relay node to multiple users).
Let s k [ n ] N s × 1 be a vector of complex data streams intended to the k -th SU such that E [ s k [ n ] s k H [ n ] ] = I N s , n { 1 , , N sub } , and let s [ n ] K N s × 1 be a vector of complex information symbols to K SUs, which can be written as s [ n ] = [ s 1 T [ n ] , , s K T [ n ] ] T , where n denotes the sub-carrier index, and N s u b specifies the total number of sub-carriers. At the CRBS, the frequency-selective digital baseband beamformer V B B [ n ] = [ V B B , 1 [ n ] , , V B B , K [ n ] ] N t R F × K N s is first employed to precode K N s data streams, specified by vector s [ n ] . Then, the frequency-flat analog RF beamformer V R F N t × N t R F is deployed to enhance the beamforming gain. The transmitted signal x [ n ] N t × 1 from the source at the n -th sub-carrier is given as x [ n ] = V R F V B B [ n ] s [ n ] = V R F k = 1 K V B B , k [ n ] s k [ n ] . Considering the transmit power constraint at the source, we have E [ x [ n ] x H [ n ] ] = T r { ( V R F V B B   [ n ] ) ( V R F V B B   [ n ] ) H } P s ,   n { 1 , , N s u b } , where P s indicates the maximum transmit power.
Note that V R F is common to all N s u b sub-carriers. Therefore, the frequency-independent analog processing component needs to be derived for hybrid wideband transceivers. The signal received y r [ n ] N r × 1 at the relay station can be modeled as
y r [ n ] = H [ n ] V R F k = 1 K V B B , k [ n ] s k [ n ] + n r [ n ] ,  
where H [ n ] N r × N t represents the channel matrix from the source to relay station in frequency-domain, and n r [ n ] N r × 1 is the zero mean circularly symmetric complex Gaussian (ZMCSCG) noise with variance σ r 2 , i.e., n r [ n ] ~ C N ( 0 ,   σ r 2 I N r ) . Due to the source-transmitted signal x [ n ] N t × 1 , the interference experienced by the PU can be characterized as
d 1 [ n ] = H P U [ n ] V R F k = 1 K V B B , k [ n ] F 2 ,  
where H P U [ n ] denotes the channel matrix from the source to the PU at the n -th sub-carrier. The equivalent baseband signal y r 1 [ n ] K N s × 1 received at the output of relay hybrid combiner F 1 [ n ] = F R F , 1 F B B , 1 [ n ] N r × K N s can be expressed as
y r 1 [ n ] = ( F R F , 1 F B B , 1 [ n ] ) H ( H [ n ] V R F k = 1 K V B B , k [ n ] s k [ n ] + n r [ n ] ) ,
where F R F , 1 N r × N r R F and F B B , 1 [ n ] N r R F × K N s are the frequency-flat analog combiner and the frequency-dependent digital baseband combiner at the relay node, respectively. Applying hybrid beamforming, the transmitted signal y r 2 [ n ] N r × 1 from the relay node is given as
y r 2 [ n ] = F R F , 2 F B B , 2 [ n ] y r 1 [ n ] = ( F R F , 2 F B B , 2 [ n ] ) ( F R F , 1 F B B , 1 [ n ] ) H r e l a y   h y b r i d   f i l t e r   ( H [ n ] V R F k = 1 K V B B , k [ n ] s k [ n ] + n r [ n ] ) ,
where the relay node is supposed to apply frequency-dependent digital baseband precoder F B B , 2 [ n ] N r R F × KN s followed by the frequency-independent analog RF beamformer F R F , 2 N r × N r R F . It is worth highlighting that the partially connected RF processing component in hybrid precoding and combining can further reduce the cost, energy, and hardware implementation complexity when compared with its fully connected counterpart. Therefore, we mainly focus on the partially connected analog precoder at the relay node and the RF combiner at each SU. At the relay transmitter, it is assumed that N r / N r R F antennas are connected to each of N r R F chains. Under this condition, F R F , 2 becomes a block-diagonal matrix that contains a set of vectors p 1 ,   p 2 , , p N r R F , each with length N r / N r R F . Hence, the structure of F R F , 2 , under the partially connected architecture, is given as
F R F , 2 = ( 1 N r ) [ p 1 0 0 p N r R F ] ,  
where p i N r / N r R F × 1 is the analog beamforming vector associated with the i -th sub-array. Owing to the relay-transmitted signal F R F , 2 F B B , 2 [ n ] y r 1 [ n ] N r × 1 to the SUs, the interference experienced by the PU can be represented as d 2 [ n ] = H P U [ n ] F R F , 2 F B B , 2 [ n ] y r 1 [ n ] F 2 . Using (4), the relay hybrid filter F [ n ] N r × N r is defined as F [ n ] = ( F R F , 2 F B B , 2 [ n ] ) ( F R F , 1 F B B , 1 [ n ] ) H , which can be compactly described as F R F , 2 F B B [ n ] F R F , 1 H , where F B B [ n ] F B B , 2 [ n ] F B B , 1 H [ n ] N r RF × N r RF is the combined baseband processing matrix at the relay node. The received signal y d k + [ n ] N d k × 1 at the k -th SU under the assumption of block-fading channel can be characterized as
y d k + [ n ] = G d k [ n ] F [ n ] ( H [ n ] V R F k = 1 K V B B , k [ n ] s k [ n ] + n r [ n ] ) + z k [ n ] ,  
where G d k [ n ] N d k × N r denotes the frequency domain channel between the relay node and the k -th SU, and z k [ n ] N d k × 1 specifies the ZMCSCG noise with variance σ k 2 , i.e., z k [ n ] ~ C N ( 0 ,   σ k 2 I N d k ) . The baseband equivalent signal y d k [ n ] N s × 1 after passing y d k + [ n ] in (6) through the hybrid combiner W d k [ n ] = W R F , k W B B , k [ n ] N d k × N s at the k -th SU is given as y d k [ n ] = ( W R F , k W B B , k [ n ] ) H y d k + [ n ] , where W R F , k N d k × N d k R F , W B B , k [ n ] N d k R F × N s are the common analog RF and the frequency-selective digital baseband combiners at the k -th SU. Under the assumption of the partially connected structure, the RF combiner W R F , k is constrained to be a block-diagonal matrix as follows:
W R F , k = ( 1 N d k ) [ q 1 0 0 q N d k R F ] ,
where q j N d k / N d k R F × 1 is the analog beamforming vector associated with the j -th sub-array. Here, N d k / N d k R F antennas are connected to each of N d k R F chains at the k -th SU, and the block-diagonal matrix in (7) is composed of a set of vectors q 1 ,   q 2 , , q N d k R F , each with length N d k / N d k R F . Another useful representation of y d k [ n ] that facilitates writing the capacity expression is given as
y c k [ n ] = { W B B , k H [ n ] G e q , k [ n ] F B B [ n ] H e q [ n ] k = 1 K V B B , k [ n ] s k [ n ] + W B B , k H [ n ] G e q , k [ n ] F B B [ n ] n r + [ n ] + W B B , k H [ n ] z k + [ n ] ,  
where H e q [ n ] = F R F , 1 H H [ n ] V R F N r R F × N t R F denotes the equivalent baseband channel from the CRBS to relay station, G e q , k [ n ] = W R F , k H G d k [ n ] F R F , 2 N d k R F × N r R F represents the equivalent baseband channel from the relay node to the k -th SU, n r + [ n ] = F R F , 1 H n r [ n ] N r R F × 1 depicts the noise vector at the output of the relay RF combiner, and z k + [ n ] = W R F , k H z k [ n ] N d k R F × 1 specifies the noise vector at the k -th SU after passing through the analog RF processing matrix. It is worthwhile to mention that the noise distribution does not change after multiplication with the RF precoder/combiner, as given in [71]. This result leads to the conclusion that z k + [ n ] and n r + [ n ] follow the same distribution as that of z k [ n ] and n r [ n ] , respectively. Using (8), the capacity expression of the k -th SU at the n -th sub-carrier can be represented as [70]
C k [ n ] = ( 1 2 ) log 2 det ( I N s + X k [ n ] X k H [ n ] ( σ r 2 Y k [ n ] Y k H [ n ] + σ k 2 W B B , k H [ n ] W B B , k [ n ] ) e q u i v a l e n t   n o i s e   c o v a r i a n c e   m a t r i x   ( = R k [ n ] ) + Ξ I U I [ n ] ) ,  
where { X k [ n ] = W B B , k H [ n ] G e q , k [ n ] F B B [ n ] H e q [ n ] V B B , k [ n ] Y k [ n ] = W B B , k H [ n ] G e q , k [ n ] F B B [ n ] n r + [ n ] Z k [ n ] = W B B , k H [ n ] G eq,k [ n ] F B B [ n ] H e q [ n ] j = 1 , j k K V B B , j [ n ] interuser interference (IUI) , Ξ I U I [ n ] = Z k [ n ] Z k H [ n ] .
The pre-log factor (1/2) in (9) indicates that two time slots are required for signal transmission from the CRBS to K SUs. The sum spectral efficiency averaged over N s u b sub-carriers can be described as
R s u m = ( 1 N s u b ) n = 1 N s u b ( k = 1 K C k [ n ] ) = ( 1 N s u b ) n = 1 N s u b ( k = 1 K i = 1 N s log 2 { ( 1 + ( S N I R ) k i [ n ] ) S D } ) ,  
where ( S N I R ) k i [ n ] is the signal-to-noise plus interference ratio from the CRBS to the k -SU corresponding to the i-th data stream under the assumption of Gaussian signaling.

2.2. Channel Model

In this paper, the clustered channel model is considered to characterize the mm-wave channels [72]. The mm-wave channels exhibit sparse scattering or spatial selectivity due to high propagation losses. Additionally, deploying a large number of antennas in massive MIMO systems within a small physical region leads to high antenna correlation. Therefore, low-rank matrices can be used to characterize the sparse scattering nature of mm-wave channels. In sum, the traditional rich scattering Rayleigh fading channel is no longer applicable for modeling mm-wave channels. To capture the mathematical structure of the mm-wave propagation environment, the geometric channel based on the extended Saleh–Valenzuela model is adopted. Specifically, the mathematical formulation of the frequency domain channel matrix, considering the uniform planar array (UPA), for the n -th sub-carrier can be expressed as [51]
H [ n ] = β i = 0 N c l 1 l = 1 N r a y α i l a r ( φ i l r , θ i l r )     a t ( φ i l t , θ i l t ) H   e j 2 π i . n N s u b   ,  
where β = N t N r N c l N r a y describes the normalization factor, N c l and N r a y illustrate the number of clusters and the number of rays in each cluster, Nsub shows the total number of sub-carriers, α i l ~ C N ( 0 , σ α , i 2 ) specifies the complex gain, θ i l t and θ i l r denote the elevation angles of departure and arrival, and φ i l t and φ i l r represent the azimuth angles of departure and arrival of the l -th transmission path in the i -th propagation cluster. Moreover, a t ( φ i l t , θ i l t ) and a r ( φ i l r , θ i l r ) in (11) refer to the planar array response vectors at the transmitter and receiver, respectively. These array response vectors depend on the antenna array architecture, where each element needs to follow a constant modulus constraint. The uniform square planar array (USPA) with N × N antenna elements is considered in this work. Hence, the array response vector corresponding to the l -th ray in the i -th cluster is given as [51]
a ( φ i l , θ i l ) = ( 1 N ) [ 1 , , e j 2 π λ d ( u sin φ i l sin θ i l + v cos θ i l ) , ,   e j 2 π λ d ( ( N 1 ) sin φ i l sin θ i l + ( N 1 ) cos θ i l ) ] T ,
where λ and d = λ 2 are the signal wavelength and antenna spacing, and 0 u < N and 0 v < N are the antenna indices in the 2D plane. Note that the proposed algorithm and the obtained results can be extended to a uniform rectangular array (URA), and the corresponding array response vectors are given in [73].

2.3. Problem Formulation

The orientation of the proposed algorithm is to design a hybrid beamforming solution for mm-wave wideband relay-assisted MU-MIMO CRN. Furthermore, mixed and fully connected hybrid architectures are taken into consideration. It is important to mention that spectral efficiency and mean-squared error (MSE) are two optimization targets that are normally considered when the underlying problems involve the DF protocol at the relay station [55]. Note that the former is a crucial performance metric as far as hybrid transceiver designs are concerned. Hence, the prime objective of this work is to derive a set of hybrid processing components that maximize the sum spectral efficiency given in (10). It is also required to satisfy the transmit power constraint at the source while keeping interference experienced by the PU within a predefined threshold. Additionally, the analog RF beamforming components need to follow the element-wise constant amplitude constraints.
Therefore, the optimization problem can be formulated as follows:
max { V R F , V B B [ n ] ,   F R F , 1 , F B B , 1 [ n ] , F B B , 2 [ n ] , F R F , 2 ,   W R F , k , W B B , k [ n ] } k = 1 ,   n = 1 K ,   N s u b R s u m s .   t . { | V R F ( x , y ) | = 1 N t , | W R F , k ( x , y ) | = 1 N d k , | F R F , 1 ( x , y ) | = | F R F , 2 ( x , y ) | = 1 N r , x , y , k , F R F , 2 = [ p 1 0 0 p N r R F ] , W R F = [ W R F , 1 0 0 W R F , K ] , F B B , 2 [ n ] = [ F B B , 2 [ 1 ] [ n ] 0 0 F B B , 2 [ K ] [ n ] ] , W B B [ n ] = [ W B B , 1 [ n ] 0 0 W B B , K [ n ] ] , V R F V B B [ n ] F 2 P s , d 1 [ n ] J 1 , d 2 [ n ] J 2 , n ,
where J 1 , J 2 , and P s represent the interference threshold experienced by the PU due to the source-transmitted signal, the relay-transmitted signal, and the upper bound for the source-transmitted power, respectively. The solution of the optimization problem in (13) seeks a joint optimization over several complex matrix variables, namely V R F , V B B [ n ] , F R F , 1 , F B B , 1 [ n ] , F B B , 2 [ n ] , F R F , 2 , W R F , k , and W B B , k [ n ] for each SU k and each sub-carrier n . It is worth mentioning that joint optimization, in this case, is usually NP-hard. Moreover, the element-wise constant modulus constraints imposed by the analog RF processing matrices, i.e., precoders and combiners, make the problem non-convex. Therefore, the global optimal solution is intractable. To address these challenges, an endeavor is made to divide the complicated optimization problem (13) into sub-problems. One sub-problem corresponds to the first transmission phase, i.e., from source to relay station, and deals with the optimization of complex variables V R F , V B B [ n ] , F R F , 1 , and F B B , 1 [ n ] . The other sub-problem focuses on deriving the decision variables F B B , 2 [ n ] , F R F , 2 , W R F , k , and W B B , k [ n ] that correspond to the second transmission phase, i.e., from the relay to K SUs.

3. Hybrid Transceiver Design Based on SNR Maximization

The cooperative communication network, where the DF relay station is deployed between the source and destination, acts like a cascade of two sub-networks. Therefore, the part of the system from the source to the relay receiver corresponds to one sub-network, while the part of the system from the relay transmitter to the destination indicates the other sub-network. In the underlying hybrid transceiver, the decoded signal at the output of the relay hybrid combiner is applied to the input of the relay hybrid precoder. This process is referred to as cascading. Exploiting this property of the DF relay node, the section of the network from the CRBS to the SUs can be decomposed into two relatively independent sub-systems, which is the first step towards reducing the complexity of the original optimization problem (13). Let R 1 be the spectral efficiency of the point-to-point MIMO system from the source to the relay node and R 2 be the spectral efficiency of the point-to-multipoint MIMO system from the relay station to the SUs. It is possible to determine the overall sum rate of the two sub-systems by maximizing the minimum between R 1 and R 2 . Taking advantage of this important feature, the sum rate of the entire SN can be expressed as [68]
R s u m = ( 1 2 ) min ( R 1 , R 2 ) .  
Leveraging the separation of the sum rate into two parts, the optimization problem formulated in (13) can be divided into two sub-problems as
max { V R F , V B B [ n ] , F R F , 1 F B B , 1 [ n ] } n = 1 N s u b R 1 s .   t . { T r { ( V R F V B B [ n ] ) H ( V R F V B B [ n ] ) } P s ,   n , d 1 [ n ] J 1 , | V R F ( x , y ) | = 1 N t   , | F R F , 1 ( x , y ) | = 1 N r ,     x , y ,  
max { F B B , 2 [ n ] , F R F , 2 ,   W R F , k , W B B , k [ n ] } k = 1 ,   n = 1 K ,     N s u b R 2 s .   t . { F R F , 2 = [ p 1 0 0 p N r R F ] , W R F = [ W R F , 1 0 0 W R F , K ] , F B B , 2 [ n ] = [ F B B , 2 [ 1 ] [ n ] 0 0 F B B , 2 [ K ] [ n ] ] , W B B [ n ] = [ W B B , 1 [ n ] 0 0 W B B , K [ n ] ] , d 2 [ n ] J 2 , d 2 [ n ] J 2 , | F R F , 2 ( x , y ) | = 1 N r , | W R F , k ( x , y ) | = 1 N d k , x , y .

3.1. Source Analog Precoder and Relay RF Combiner

The goal is to derive the RF precoder and combiner that maximize the sum spectral efficiency. Since the achievable rate R 1 is a function of complex matrix variables ( V R F ,   { V B B [ n ] } n = 1     N s u b   ,   F R F , 1 ,   { F B B , 1 [ n ] } n = 1     N s u b   ) , joint optimization of the sum rate is computationally inefficient. Therefore, a decoupled approach is exploited to design the required analog beamforming components, which allows converting the sub-optimization problem (15) into the following form.
max { V R F , V B B [ n ] }   n = 1     N s u b ( 1 N s u b ) n = 1 N s u b log 2 det ( I K N s + 1 σ r 2 ( H [ n ] V R F V B B [ n ] ) ( H [ n ] V R F V B B [ n ] ) H ) s .   t .   { T r { ( V R F V B B [ n ] ) H ( V R F V B B [ n ] ) } P s ,   n , d 1 [ n ] J 1 , | V R F ( x , y ) | = 1 N t   , | F R F , 1 ( x , y ) | = 1 N r ,     x , y .  
According to Lemma 1 [41], the optimization problem in (17) can be approximated as
max { V R F , V B B [ n ] }   n = 1     N s u b ( 1 N s u b ) n = 1 N s u b ( V F D o p t [ n ] ) H V R F V B B [ n ] F 2 s .   t .   { T r { ( V R F V B B [ n ] ) H ( V R F V B B [ n ] ) } P s ,   n , d 1 [ n ] J 1 , | V R F ( x , y ) | = 1 N t   , | F R F , 1 ( x , y ) | = 1 N r ,     x , y .  
where V F D o p t [ n ] N t × K N s is the fully digital precoder corresponding to the n -th sub-carrier. If the hybrid beamformer V R F V B B [ n ] is sufficiently close to the full complexity precoder with considerably high SNR, then the optimization problem (18) becomes equivalent to (17). Furthermore, assuming V B B [ n ] as a unitary matrix allows us to transform the problem (18) into another equivalent form as
max V R F ( 1 N s u b ) n = 1 N s u b ( V F D o p t [ n ] ) H V R F F 2 s .   t .   { T r { ( V R F V B B [ n ] ) H ( V R F V B B [ n ] ) } P s ,   n , d 1 [ n ] J 1 , | V R F ( x , y ) | = 1 N t   ,   x , y .  
Since the frequency-domain MIMO channels { H [ n ] }   n = 1     N s u b have the same row/column space [49], V R F is common to all sub-carriers. From this perspective, V R F can be considered as a representation of the mentioned column space, and this observation inspires us to develop the analog beamformer using the principal component analysis framework. Under this framework, the data set matrix V d a t a = [ V F D o p t [ 1 ] ,   V F D o p t [ 2 ] ,   , V F D o p t [ N s u b ]   ] can be employed to determine the principal components that constitute V R F . Applying singular value decomposition (SVD) on V d a t a leads to a stable solution with low complexity. Therefore, V d a t a = U V d a t a Σ V d a t a V V d a t a H and the sub-optimal solution can be given as follows:
V R F = ( 1 N t ) exp { j   a r g ( U V d a t a ( : , 1 : N t R F ) ) } .
It is worth highlighting that the obtained RF beamforming vectors have the largest projections on the respective eigenmodes, i.e., they cast maximum energy along those eigenmode directions [74]. Additionally, they help achieve maximum beamforming gain and keep the interference that PU experiences within a predetermined threshold. We can also find a sub-optimal solution to the problem (19) by deriving the lower bound of the objective function. An alternative solution to design the V R F is as follows:
n = 1 N s u b ( V F D o p t [ n ] ) H V R F F 2 = ( V F D o p t [ 1 ] ) H V R F F 2 + + ( V F D o p t [ N s u b ] ) H V R F F 2 ( ( V F D o p t [ 1 ] ) H + + ( V F D o p t [ N s u b ] ) H N s u b ) V R F F 2 = V o p t V R F F 2 ,
where V o p t = ( ( V F D o p t [ 1 ] ) H + + ( V F D o p t [ N s u b ] ) H N s u b ) . The sub-optimal solution to the problem (19) can also be expressed as V R F = ( 1 N t ) exp { j   a r g ( V o p t ) H } . Based on the derived V R F and { V B B [ n ] } n = 1 N s u b , the relay RF combiner F R F , 1 can be obtained by maximizing the received SNR. Using (3), the achievable rate can be expressed as
R 1 = ( 1 N s u b ) n = 1 N s u b ( log 2 det ( I K N s + R N 1 1 ( F B B , 1 H [ n ] F R F , 1 H H [ n ] V R F V B B [ n ] ) ( F B B , 1 H [ n ] F R F , 1 H H [ n ] V R F V B B [ n ] ) H ) ) ,
where R N 1 = ( ( F R F , 1 F B B , 1 [ n ] ) H ( F R F , 1 F B B , 1 [ n ] ) σ r 2 ) is the noise covariance matrix. It is worth noting that the analog beamforming matrix does not change the noise distribution [71]. Additionally, assuming F B B , 1 [ n ] ,   n as a unitary matrix keeps the noise power the same, i.e., σ r 2 after applying the hybrid combiner ( F R F , 1 F B B , 1 [ n ] ) at the relay node. Hence, the total post-processing SNR, which is determined after hybrid combining, is given as
S N R = F B B , 1 H [ n ] F R F , 1 H H [ n ] V R F V B B [ n ] F 2 σ r 2 .  
It is known that capacity expression in MIMO systems is directly related to SNR. Therefore, the sub-optimization problem (15) for deriving F R F , 1 can be approximately written as
max { F R F , 1 , F B B , 1 [ n ] , } n = 1 N s u b ( 1 N s u b ) n = 1 N s u b ( F B B , 1 H [ n ] F R F , 1 H H [ n ] V R F V B B [ n ] F 2 σ r 2 ) s .   t .   { T r { ( V R F V B B [ n ] ) H ( V R F V B B [ n ] ) } P s ,   n , d 1 [ n ] J 1 , | F R F , 1 ( x , y ) | = 1 N r ,     x , y .  
Furthermore, the sub-problem in (24) for maximizing the post-processing SNR can be equivalently transformed into the received power maximization problem as follows:
max { F R F , 1 , F B B , 1 [ n ] , } n = 1 N s u b ( 1 N s u b ) n = 1 N s u b T r { ( F B B , 1 H [ n ] H e q [ n ] V B B [ n ] ) ( F B B , 1 H [ n ] H e q [ n ] V B B [ n ] ) H } s .   t .   { T r { ( V R F V B B [ n ] ) H ( V R F V B B [ n ] ) } P s ,   n , d 1 [ n ] J 1 , | F R F , 1 ( x , y ) | = 1 N r ,     x , y .  
Again, joint optimization of F R F , 1 and { F B B , 1 [ n ] } n = 1 N s u b in (24) is challenging due to the coupling between these processing matrices. This gives motivation for designing these beamforming components separately. Therefore, the digital baseband processing components { V B B [ n ] ,   F B B , 1 [ n ] } n = 1 N s u b in (24) can be ignored following a decoupled approach to reduce the complexity associated with the solution. Once the frequency-independent relay analog combiner is derived, the standard method can be used to find these baseband processing matrices to minimize interference among transmitted data streams. Therefore, the optimization problem (25) can be suppressed as
max F R F , 1 ( 1 N s u b ) n = 1 N s u b T r { H e q [ n ] H e q H [ n ] } = T r { ( F R F , 1 H H [ n ] V R F ) ( F R F , 1 H H [ n ] V R F ) H } s .   t .   { T r { ( V R F V B B [ n ] ) H ( V R F V B B [ n ] ) } P s ,   n , d 1 [ n ] J 1 , | F R F , 1 ( x , y ) | = 1 N r ,     x , y .  
For designing the F R F , 1 , the objective function in (26) can be further simplified as
max F R F , 1 ( 1 N s u b ) n = 1 N s u b T r { F R F , 1 H H t m p [ n ] H t m p H [ n ] F R F , 1 } s .   t .   { T r { ( V R F V B B [ n ] ) H ( V R F V B B [ n ] ) } P s ,   n , d 1 [ n ] J 1 ,   | F R F , 1 ( x , y ) | = 1 N r ,     x , y ,  
where H t m p [ n ] = H [ n ] V R F . The product H t m p [ n ] H t m p H [ n ] in the objective function of (27) can be replaced with the matrix given as
A = n = 1 N s u b ( H t m p [ n ] H t m p H [ n ] N s u b ) ,  
where A N r × N r is the mean of the covariance of frequency domain channels H t m p [ n ] taken over N s u b sub-carriers. Using (28), the optimization problem in (27) can be written as
max F R F , 1 T r { F R F , 1 H A   F R F , 1 } s .   t .   { T r { ( V R F V B B [ n ] ) H ( V R F V B B [ n ] ) } P s ,   n , d 1 [ n ] J 1 ,   | F R F , 1 ( x , y ) | = 1 N r ,     x , y .  
The function T r { F R F , 1 H A   F R F , 1 } in (29) can also be expressed as
T r { F R F , 1 H A   F R F , 1 } = m = 1 N r R F ( f R F , 1 H ) [ m ] A ( f R F , 1 ) [ m ] ,  
where ( f R F , 1 ) [ m ] N r × 1 is the m -th analog beamforming vector of F R F , 1 , and ( f R F , 1 H ) [ m ] A ( f R F , 1 ) [ m ] is the m -th diagonal element of F R F , 1 H A   F R F , 1 N r R F × N r R F . Using (30), the problem in (29) can be converted into the equivalent vector form as
max { ( f R F , 1 ) [ m ] } m = 1 N r R F m = 1 N r R F ( f R F , 1 H ) [ m ] A ( f R F , 1 ) [ m ] s .   t .   { T r { ( V R F V B B [ n ] ) H ( V R F V B B [ n ] ) } P s ,   n , d 1 [ n ] J 1 ,   | ( f R F , 1 ) [ m ] ( l ) | = 1 N r ,     l { 1 , , N r } ,  
where ( f R F , 1 ) [ m ] ( l ) depicts the l -th element of the m -th column in F R F , 1 . The primary objective while designing F R F , 1 , is to decompose the channels into parallel sub-channels to ensure effective multi-steam transmission. The constant modulus constraints on the RF processing component pose a main challenge. Relaxing the constant amplitude constraints can lead to the optimal solution corresponding to F R F , 1 by performing eigenvalue decomposition (EVD) on A (28). The optimal combiner can be determined by selecting the N r R F eigenvectors that correspond to the N r R F maximum eigenvalues. This matrix makes it possible to receive signals along the eigenmodes of the channel. Note that the eigenvectors cannot be directly used to rotate the signals when the element-wise constant amplitude constraints are taken into consideration. In this situation, the projection on each eigenmode can be considered a criterion for designing the RF beamforming vectors. The best beamforming matrix should be the one whose vectors have the largest projections on the respective eigenmodes. For instance, the EVD of A in (28) is characterized as
A = T Σ T H = r = 1 L λ r t r t r H ,  
where T N r × N r is the unitary matrix, and Σ N r × N r is a diagonal matrix that comprises eigenvalues. Furthermore, t r is the r -th vector in T , which is associated with the eigenvalue λ r . From the perspective of mm-wave transmission, L is the rank of the channel and describes the number of propagation paths. To determine the RF beamforming vector ( f R F , 1 ) [ m ] in (31), there is a need to maximize the projection of the m -th data stream on the m -th eigenmode, i.e., | t m H ( f R F , 1 ) [ m ] | . The maximal projection can be obtained by formulating the optimization problem that minimizes the mean squared error (MSE) between the unknown vector ( f R F , 1 ) [ m ] and the corresponding unconstrained optimal vector. Therefore,
min ( f R F , 1 ) [ m ] T r { ( t m o p t ( f R F , 1 ) [ m ] ) H ( t m o p t ( f R F , 1 ) [ m ] ) } = t m o p t ( f R F , 1 ) [ m ] 2 2 s .   t .   | ( f R F , 1 ) [ m ] ( l ) | = 1 N r ,     l { 1 , , N r } ,   m { 1 , , N r R F } ,  
where t m o p t = T ( : , m ) N r × 1 is the optimal combining vector corresponding to the maximum eigenvalue in Σ N r × N r (32), and . 2 stands for the L 2 -norm of a vector. The objective function in (33), using the property of L 2 -norm and trace operator, can be written as
t m o p t ( f R F , 1 ) [ m ] 2 2 = 2 2   T r ( [ ( f R F , 1 ) [ m ] ( t m o p t ) H ] ) .  
It is obvious from (34) that the minimum value can be obtained when ( f R F , 1 ) [ m ] has the same phase-values as t m o p t . Under this condition, the projection of ( f R F , 1 ) [ m ] on t m o p t becomes maximum, and this information can be exploited for designing the required phase-only combining vector as [75]
( f R F , 1 ) [ m ] = ( 1 N r ) exp { j   a r g ( p m o p t ) } ,  
where a r g ( . ) denotes the argument operator. Hence, the F R F , 1 is formulated as
F R F , 1 = [ ( f R F , 1 ) [ 1 ] , , ( f R F , 1 ) [ N r R F ] ] .  

3.2. Baseband Beamformer Optimization

In the previous sub-section, the source analog precoder and the relay analog combiner were designed to maximize RF beamforming gain by maximizing projections along the respective eigenmodes. After deriving the above-mentioned phase-only processing components, it is possible to define the equivalent channel observed from the baseband processing units in the CRBS and the relay node. By diagonalizing this equivalent channel H e q [ n ] (8), the frequency-selective digital baseband precoders and combiners at the source and the relay node can be obtained. Hence, H e q [ n ] = U R [ n ] Σ S R [ n ] V S H [ n ] , V B B [ n ] = V S [ n ] ( : , 1 : K N s ) , F B B , 1 [ n ] = U R [ n ] ( : , 1 : K N s ) . These baseband processing components minimize interference among transmitted data streams from the source to the relay station indirectly.

3.3. Relay RF Precoder and RF Combiners at SUs

In the second time slot, the received signal y d k [ n ] at the k -th SU in terms of the transmitted signal y r 1 [ n ] (3) from the relay can be written as
y d k [ n ] = ( W R F , k W B B , k [ n ] ) H { G d k [ n ] F R F , 2 F B B , 2 [ n ] y r 1 [ n ] + z k [ n ] } .  
The compact representation of (37) is given as
y d k c [ n ] = W d k H [ n ] G d k [ n ] F 2 [ n ] s R [ n ] + W d k H [ n ] z k [ n ] .  
The received signal at the k -th SU user can also be represented as
y ¯ d k [ n ] = W d k H [ n ] G d k [ n ] F 2 k [ n ] s k R [ n ] + j = 1 , j k K W d j H [ n ] G d j [ n ] F 2 j [ n ] s j R [ n ] + W d k H [ n ] z k [ n ] ,  
where W d k [ n ] = W R F , k W B B , k [ n ] N d k × N s , F 2 [ n ] = F R F , 2 F B B , 2 [ n ] N r × K N s , F 2 k [ n ] = F R F , 2 F B B , 2 k [ n ] , and s R [ n ] = y r 1 [ n ] K N s × 1 . Assuming Gaussian signaling, the expression of R 2 , using (39), is obtained as
R 2 = log 2 det ( I N s + ( R N 2 k ) 1 ( W B B , k H [ n ] G e q , k [ n ] F B B , 2 [ k ] [ n ] ) ( W B B , k H [ n ] G e q , k [ n ] F B B , 2 [ k ] [ n ] ) H ) ,
where R N 2 k = W d k H [ n ] ( j k ( G j [ n ] F 2 j [ n ] ) ( G j [ n ] F 2 j [ n ] ) H + σ k 2 I N d k ) W d k [ n ] shows the residual IUI plus noise. Using (40), the SNIR at the k -th SU after hybrid combining is expressed as
( S N I R ) k = T r ( ( W B B , k H [ n ] G e q , k [ n ] F B B , 2 [ k ] [ n ] ) ( W B B , k H [ n ] G e q , k [ n ] F B B , 2 [ k ] [ n ] ) H ) T r ( W d k H [ n ] ( j k ( G j [ n ] F 2 j [ n ] ) ( G j [ n ] F 2 j [ n ] ) H + σ k 2 I N d k ) W d k [ n ] ) .  
It is evident from (41) that direct optimization is a difficult task due to the dependence on complex matrix variables. Additionally, the RF beamforming solution at the relay node and each SU essentially need to follow the element-wise constant amplitude constraints. Therefore, an endeavor is made to approximate the expression in (41) to reduce the complexity of the optimization problem. After determining the RF processing components in (41), digital baseband precoding matrices F B B , 2 [ k ] [ n ] ,   k { 1 , , K } , can be obtained through the equivalent baseband channels W R F , k H G d k [ n ] F R F , 2 , k { 1 , , K } , using the block diagonalization (BD) technique. This implies that ( G k [ n ] F R F , 2 F B B , 2 j [ n ] ) ( G k [ n ] F R F , 2 F B B , 2 j [ n ] ) H = 0 , j k . Therefore, the SNIR in (41) can be reduced as
( S N I R ¯ ) k = T r ( ( W B B , k H [ n ] G e q , k [ n ] F B B , 2 [ k ] [ n ] ) ( W B B , k H [ n ] G e q , k [ n ] F B B , 2 [ k ] [ n ] ) H ) T r ( σ k 2 W d k H [ n ] W d k [ n ] ) .  
It has been shown in [71] that RF processing components do not have any impact on the noise distribution, i.e., the noise vector shows the same variance after multiplication with the analog beam formers, as already mentioned. Moreover, the BD technique gives unitary matrices as the baseband processing components at the SUs. In conclusion, the noise power in (41) remains constant, and the optimization problem defined in (16) can be transformed into a received power maximization problem. Following this discussion and decoupled design methodology, the sub-problem in (16) can be approximately written as
max F R F , 2 ,   W R F , k ( 1 N s u b ) n = 1 N s u b ( T r { ( W R F , k H G d k [ n ] F R F , 2 ) ( W R F , k H G d k [ n ] F R F , 2 ) H } ) s .   t .   { F R F , 2 = [ p 1 0 0 p N r R F ] , W R F = [ W R F , 1 0 0 W R F , K ] , d 2 [ n ] J 2 , | F R F , 2 ( x , y ) | = 1 N r   , | W R F , k ( x , y ) | = 1 N d k ,     x , y .  
When a large number of antennas are installed at the relay node, it will become highly probable that F R F , 2 F R F , 2 H N r I r [43]. Furthermore,
C k = ( 1 N s u b ) n = 1 N s u b G d k [ n ] G d k H [ n ] N d k × N d k .  
Under the assumption of the large antenna array and the mean of the covariance of frequency-domain channels (44), the problem in (43) can be expressed as
max   W R F , k T r ( W R F , k H C k   W R F , k ) s .   t .   { W R F = [ W R F , 1 0 0 W R F , K ] , d 2 [ n ] J 2 , | W R F , k ( x , y ) | = 1 N d k ,     x , y .
Except for the diagonal structure of W R F , k , the problem in (45) is the same as that of (29), and hence, the same solution strategy can be applied to find the partially connected analog RF combiner at the k -th SU. To avoid repetition, the main design steps are shown here. The EVD of C k in (44) is given as
C k = L Σ 2 L H ,
where L N d k × N d k is the unitary matrix, and Σ 2 N d k × N d k is a diagonal matrix that contains eigenvalues. Let L k o p t N d k × N s be the optimal combiner at the k -th SU that corresponds to the maximum eigenvalue in Σ 2 , which is given as
L k o p t = [ l 1 , l 2 , , l N s ] .  
The required RF combiner W R F ,   k ,   k { 1 , , K } at the k -th SU can be determined by finding its projection as close as possible to the optimal combiner in (47). This target can be achieved by formulating the MMSE problem as
min W R F ,   k ,   k { 1 , , K } [ L 1 o p t W R F , 1 0 0 L K o p t W R F , K ] F 2 = min W R F ,   k k = 1 K L k o p t W R F , k F 2 = min W R F ,   k k = 1 K ( T r { ( L k o p t W R F , k ) H ( L k o p t W R F , k ) } ) s .   t .   | W R F , k ( x , y ) | = 1 N d k .  
The minimum of the cost function in (48) occurs when W R F , k has the same phase values as L k o p t . Therefore, W R F , k can be obtained using the following relation.
W R F , k = ( 1 N t ) exp { j   a r g ( L k o p t ) } .  
To adjust F R F , 2 in accordance with W R F , k ,   k , the optimization problem based on received power maximization is approximated as
max F R F , 2 ( 1 N s u b ) n = 1 N s u b ( T r { ( W R F H G [ n ] F R F , 2 ) H ( W R F H G [ n ] F R F , 2 ) } ) s .   t .   { F R F , 2 = [ p 1 0 0 p N r R F ] , d 2 [ n ] J 2 , | F R F , 2 ( x , y ) | = 1 N r   ,   x , y   ,  
where W R F = b d [ W R F , 1 , , W R F , K ] K N d k × K N d k R F is a block diagonal matrix and G [ n ] = [ G d 1 T [ n ] , , G d K T [ n ] ] T K N d k × N r . Just like (45), this problem can also be transformed into the following form:
max   F R F , 2 T r ( F R F , 2 H D   F R F , 2 ) s .   t .   { F R F , 2 = [ p 1 0 0 p N r R F ] , d 2 [ n ] J 2 , | F R F , 2 ( x , y ) | = 1 N r   ,   x , y ,
where D N r × N r is defined as
D = ( 1 N s u b ) n = 1 N s u b G H [ n ] W R F W R F H G [ n ] .  
When EVD is performed on D , then
D = E Σ 3 E H ,  
where D N r × N r is the unitary matrix and Σ 3 N r × N r is a diagonal matrix that contains eigenvalues. Using (53), the unconstrained optimal precoder at the relay F o p t N r × N r R F can be determined as F o p t = E ( : , 1 : N r R F ) . But this optimal solution does not fulfill the essential condition of constant modulus constraints associated with the desired RF beamforming solution, i.e., F R F , 2 . However, it is possible to find the nearest point to the corresponding optimal precoder in high-dimensional space. This process helps in deriving the F R F , 2 from the unconstrained precoding matrix F o p t . To achieve this design objective, a problem is defined for deriving the required analog beamformer at the relay node as follows:
min F R F , 2 F o p t F R F , 2 F 2 = T r { ( F o p t F R F , 2 ) H ( F o p t F R F , 2 ) } s .   t .   | F R F , 2 ( x , y ) | = 1 N r   ,   x , y .  
It is clear from (54) that the reconstruction loss cannot be fully avoided by achieving the exact lower bound, i.e., F o p t F R F , 2 F 2 = 0 , due to the element-wise non-convex constraints. Therefore, an endeavor is made to minimize the reconstruction error F o p t F R F , 2 F 2 0 in (54) to find F R F , 2 . The objective function in (54) can be written as
T r { ( F o p t F R F , 2 ) H ( F o p t F R F , 2 ) } = F o p t F 2 + F R F , 2 F 2 T r { 2 ( F R F , 2 F o p t H ) } = F o p t F 2 + F R F , 2 F 2 2 x = 1 N r y = 1 N r R F { F R F , 2 ( x , y ) F o p t * ( x , y ) } .  
It is obvious from (55) that the minimum value of F o p t F R F , 2 F 2 can only be obtained when each { F R F , 2 ( x , y ) F o p t * ( x , y ) } attains its maximum value. As F o p t ( x , y ) = | F o p t ( x , y ) | exp { j arg ( F o p t ( x , y ) ) } and F R F , 2 ( x , y ) = | F R F , 2 ( x , y ) | exp { j arg ( F R F , 2 ( x , y ) ) } , therefore,
{ F R F , 2 ( x , y ) F o p t * ( x , y ) } = | F o p t ( x , y ) | | F R F , 2 ( x , y ) | cos ψ | F o p t ( x , y ) | | F R F , 2 ( x , y ) | ,  
where ψ = arg ( F R F , 2 ( x , y ) ) arg ( F o p t ( x , y ) ) . When arg ( F R F , 2 ( x , y ) ) = arg ( F o p t ( x , y ) ) then cos ψ = 1 , and this condition leads (56) to its maximum value. In summary, the required RF beamformer F R F , 2 shares the element-wise phase of the corresponding optimal beamformer F o p t . Hence, F R F , 2 is given as
F R F , 2 = ( 1 N r ) exp { j arg ( F o p t ) } .  

3.4. Digital Baseband Precoding and Combining

The section of the secondary network (SN) from the relay node to the SUs can be transformed in terms of the baseband equivalent channels G e q ,   k [ n ] = W R F , k H G k [ n ] F R F , 2 ,   k , n , as F R F , 2 and W R F , k have already been derived. Taking advantage of this transformation, the frequency-selective low-dimensional baseband processing matrices F B B , 2 [ n ] and W B B , k [ n ] can be designed using conventional techniques by exploiting G e q ,   k [ n ] , k ,   n . Minimizing the impact of IUI is the primary objective behind the design of baseband processing components. This goal can be achieved by dividing the MU-MIMO channel into multiple SU-MIMO channels, and the block diagonalization (BD) technique leads to the required solution [76]. The overall channel G e q R D [ n ] N r R F × K N d k R F from the relay to K SUs can be defined as G e q R D [ n ] = [ G e q , 1 T [ n ] , , G e q , K T [ n ] ] T N r R F × K N d k R F . The constraint G e q , j R D [ n ] F B B , 2 [ k ] [ n ] = 0 ,   j k needs to be satisfied to eliminate the IUI, where F B B , 2 [ k ] [ n ] denotes the baseband precoder at the relay node corresponding to the k -th SU. This constraint demands that the received signal by the k -th user must fall in the null space of other user channels.
Define G ˜ e q , k R D [ n ] = [ G e q , 1 T [ n ] , , G e q , k 1 T [ n ] , G e q , k + 1 T [ n ] , , G e q , K T [ n ] ] T N r R F × ( K 1 ) N d k R F that contains the individual baseband equivalent channels except for the intended user. Performing SVD on G ˜ e q , k R D [ n ] leads to the desired null space as follows
G ˜ e q , k R D [ n ] = ( U ˜ e q , k R D [ n ] ) [ Σ ˜ e q , k R D [ n ] 0 0 0 ] [ ( V ˜ e q , k R D [ n ] ) ( 1 ) ( V ˜ e q , k R D [ n ] ) ( 0 ) ] H ,  
where ( V ˜ e q , k R D [ n ] ) ( 1 ) represents the subspace orthogonal bases, and ( V ˜ e q , k R D [ n ] ) ( 0 ) denotes the null space orthogonal bases of G ˜ e q , k R D [ n ] . Therefore,
G ˜ e q , k R D [ n ] ( V ˜ e q , i R D [ n ] ) ( 0 ) = { 0 ,   i k G ˜ e q , k R D [ n ] ( V ˜ e q , i R D [ n ] ) ( 0 ) ,   i = k } .  
Applying SVD on G e q ,   k [ n ] ( V ˜ e q , k R D [ n ] ) ( 0 ) gives
G e q ,   k [ n ] ( V ˜ e q , k R D [ n ] ) ( 0 ) = ( U e q , k R D [ n ] ) [ Σ e q , k R D [ n ] 0 0 0 ] [ ( V e q , k R D [ n ] ) ( 1 ) ( V e q , k R D [ n ] ) ( 0 ) ] H , k .  
To eliminate IUI, ( V e q , k R D [ n ] ) ( 1 ) corresponding to the non-zero singular values is selected for designing the precoding matrix. Therefore, the F B B , 2 [ k ] [ n ] is given as
F B B , 2 [ k ] [ n ] = ( V ˜ e q , k R D [ n ] ) ( 0 ) ( V e q , k R D [ n ] ) ( 1 ) ,   k .  

4. Complexity Analysis

Complexity falls into two main categories: (1) hardware implementation complexity and (2) computational complexity. From the perspective of hardware complexity, a fully digital array requires one dedicated RF chain per antenna. For instance, N t R F = N t RF chains are required for the digital implementation of the source node. It is evident from the above-mentioned condition that a hybrid architecture demands significantly less hardware complexity, as it considerably reduces the number of RF chains, i.e., N t R F N t . Among different hybrid structures, the fully connected one employs N r R F N r phase shifters, which indicates that each RF chain is connected to all antennas in an array. On the other hand, the partially connected structure uses N r phase shifters. This reduction in the number of phase shifters indicates the lower hardware implementation complexity of partially connected architectures. The proposed scheme employs a combination of fully connected and partially connected structures at the relay node, which is referred to as a mixed architecture. Additionally, the hybrid combiner of each SU also uses a partially connected structure. Therefore, the suggested method shows less hardware complexity when compared with its fully connected counterpart.
In the previous section, a hybrid broadband mm-wave beamforming design was proposed for mixed-structure and fully connected relay-assisted MU-MIMO networks. Also, the CR communication framework was taken into consideration for efficient spectrum utilization. The primary objective behind this technique was to avoid spectral congestion that might occur in the presence of a huge number of connected devices. In addition, the suggested architecture leads to a cost-effective, energy-efficient, and low-complexity solution.
A brief analysis of the computational complexity of the proposed scheme and a comparison with other existing hybrid beamforming techniques are provided in this section. The derivation of the common analog beamforming solution and frequency-dependent digital baseband processing component in wideband systems determines the overall computational complexity. The frequency-independent phase-only beamformer can be obtained by taking the average of the covariance matrices of frequency domain channels, and this operation requires matrix addition and multiplication. Considering matrix multiplication as a major contributing factor, the computational complexity for designing the RF processing components at different communicating nodes is in the order of matrix multiplication. Despite knowing that complex multiplication operations are computationally more expensive compared to complex addition operations, they are both considered one floating-point operation in evaluating the computational cost. For instance, the resultant matrix in (28) contains the product H t m p [ n ] H t m p H [ n ] , which requires approximately N r 2 N t floating-point operations for its computation. Moreover, eigendecomposition needs to be performed to extract the optimal phase values to design the RF beamforming matrices at various communicating nodes. Hence, the derivation of the analog beamformer requires computational complexity in the order of O { max ( N r 3 , N r 2 N t N s u b ) } . Furthermore, the computational cost associated with the evaluation of the baseband processing component is in the order of O ( ( N r R F ) 3 ) . Therefore, the total complexity of the proposed approach can be approximated as follows:
C T N r 2 N t + N r 2 N t N s u b + N d k 2 N r + N d k 2 N r N s u b + ( N r R F ) 3 + ( N d k R F ) 3 .  
The computational cost of hybrid transceiver design for OFDM-based large-scale MIMO systems [43] can be expressed as
N s u b ( N s 3 + N t 2 N r + N t ( N s 2 + N r 2 ) ) + N s ( N t 2 + N r 2 ) .
Finally, the hybrid beamforming technique proposed in [41] demands the following computational complexity:
N s 4 + N s 3 ( N t + N r ) + ( N t N r N s ) 2 + N s u b ( N s 3 + N t 2 N r + N r 2 N s ) .
Table 3 shows the complexities of different hybrid precoding methods. On the other hand, Figure 2 illustrates the computational complexity of the proposed hybrid transceiver and the hybrid processing techniques developed in [41,43,47,50] as a function of the number of antennas. To obtain these curves, computer simulations are conducted by changing the number of antennas over a wide range while keeping these parameters ( N s u b = 64 , N t R F = N r R F = K N s , N d R F = N s = 5 , N d k = 9 , and K = 5 ) constant. It is clear from the obtained results that the proposed method shows less computational cost compared to the algorithms presented in [41,43,47,50]. There is a large performance gap between the proposed approach and the algorithm developed in [43]. Also, this gap increases with the number of antennas. For instance, the computational complexity of the proposed scheme is approximately 40 times less than the hybrid transceiver suggested in [43] when 100 antennas are deployed at the source and relay station. Similarly, the computational cost of the proposed technique is approximately 87 times less than the hybrid precoding design in [43] with 225 antennas. In addition, a small performance gap is observed when compared with the algorithm given in [47].

5. Computer Simulations

This section provides numerical results to illustrate the effectiveness of the proposed hybrid precoding algorithm for mixed structures and the corresponding fully connected architecture. Specifically, the sum spectral efficiency and energy efficiency performance of the presented technique are compared with full-complexity digital precoding and other well-known hybrid beamforming designs. It is worth mentioning that computer simulations are conducted by changing system configuration parameters over a wide range, considering perfect CSI and imperfect CSI with different accuracy factors to show robustness. To obtain simulation results, the mm-wave MIMO channel is generated according to the model (12) given in Section 2. The number of propagation paths in each cluster and the number of clusters are set to N r a y = 10 and N c = 5 , respectively. The angles of departure and the angles of arrival in (12) are generated by following the Laplacian distribution with a uniformly distributed mean over [0, 2π). Moreover, the complex gain of each propagation path is assumed to satisfy the distribution α i l ~ C N ( 0 , σ α , i 2 ) , where σ α , i 2 = 1   i is the average power of each cluster. In addition, the operating central frequency f c and the total number of sub-carriers N s u b are set as follows: 28 GHz and 64, respectively. Note that the proposed algorithm is also applicable to any arbitrary number of sub-carriers (e.g., 128, 256, etc.). The upper bound for the transmit power of CRBS, transmission range, and normalized beamforming gain are given as N s , 200–450 m, 1, respectively. All simulation results are obtained by averaging over 500 random channel realizations. It is worth highlighting that the estimated channel matrix H ¯ [ n ] can be characterized as [77]
H ¯ [ n ] = δ   H [ n ] + 1 δ 2 E ,
where H [ n ] denotes the actual channel matrix, δ [ 0 ,   1 ] shows the accuracy factor of H ¯ [ n ] , and E indicates the error matrix whose elements are independent and identically distributed ( i . i . d ) Gaussian random variables, i.e., C N ( 0 ,   1 ) . The main simulation parameters are shown in Table 4.

5.1. Spectral Efficiency Evaluation

Figure 3 illustrates the sum spectral efficiency performance of the proposed hybrid beamforming as a function of SNR, considering mixed structure and fully connected architecture. The number of antennas deployed at the source and relay node is set as N t = N r = 256 , while 64 antennas are installed at each SU, i.e., N d k = 64 . It is assumed that the CRBS is serving K = 4 SUs. Moreover, the number of transmitted data streams is set to N s = { 2 ,   4 ,   8 } under the assumption that N t R F = N r R F = K N s and N d k R F = N s , i.e., the number of RF chains is equal to the number of transmitted data streams at the respective communicating nodes. The obtained results demonstrate that the proposed technique achieves performance close to its fully digital counterpart with the fully connected architecture. On the other hand, the proposed scheme shows relatively lower sum spectral efficiency with the mixed structure when compared with the corresponding fully connected and full-complexity digital ones. It can also be seen from the obtained curves that performance degradation occurs in a gradual manner as channel estimation error increases, i.e., δ = 0.9   ( 10 % ) ,   0.8   ( 20 % ) ,   0.7   ( 30 % ) . Just like the mixed structure, the performance of the fully connected architecture follows the same pattern when channel estimation error increases in discrete steps. It is evident from the obtained curves that the performance gap increases slightly by increasing the number of transmitted data streams. However, the nearly consistent performance of the proposed algorithm, even with a large number of data streams per user, indicates that IUI is effectively suppressed.
Figure 4 plots the sum spectral efficiency of the proposed approach when 144 antennas are deployed at the source and relay nodes and 36 antennas are installed at each SU, i.e., N t = N r = 144 and N d k = 36 . To generate simulation results, the least number of RF chains is considered at the respective communicating units, i.e., N t R F = N r R F = K N s and N d k R F = N s . The system is assumed to serve K = 3 SUs, and the number of transmitted data streams to each user is set as Ns = {2, 3, 4}.
It is clear from the obtained curves that the proposed hybrid transceiver achieves performance close to full-complexity beamforming with the fully connected architecture. However, a small degradation in performance occurs when the mixed structure is taken into consideration. It is also obvious from the obtained results that the proposed algorithm shows consistent performance regardless of changing system parameters. To describe the impact of channel estimation error on the performance of mixed hybrid structures, numerical results are obtained under imperfect CSI at different accuracy levels, i.e., δ = 0.85 ,   0.75 . Again, the decreasing accuracy factor leads to gradual degradation in performance, as shown in Figure 4.
Figure 5 describes the spectral efficiency performance of the proposed scheme by further increasing the number of users compared to the previous two cases. To obtain numerical results, the number of antennas at different communicating nodes and the number of transmitted data streams to each SU are set as follows: N t = N r = 144 ,   N d k = 16 and N s = { 2 ,   4 } , respectively. It is assumed that the CRBS is serving six users, i.e., K = 6 . The proposed algorithm with the fully connected structure achieves performance close to the upper bound defined by fully digital precoding, while a small degradation in performance occurs with the mixed architecture. Furthermore, a gradual decrease in performance is observed when the accuracy of the estimated channel decreases by considering δ = 0.9 ,   0.8 ,   0.7 . It is obvious from Figure 5 that the obtained results follow a similar pattern as observed in the previous cases. This consistency in performance under changing system parameters indicates the usefulness of the suggested method.
Figure 6 depicts the achievable rate of the proposed approach, considering URA at communicating nodes. To generate numerical results, the number of antennas, transmitted data streams, and SUs are given as N t = N r = 200 , N d k = 50 , N s = 5 , K = 4 , respectively. The obtained results demonstrate that the fully connected hybrid transceiver achieves performance close to the unconstrained fully digital precoding. Moreover, there is a minor performance gap between the mixed structure and the fully connected one, assuming perfect CSI. However, the performance of the proposed scheme decreases gradually by reducing the accuracy of the estimated channel matrix.

5.2. Impact of Number of Users on Spectral Efficiency

Figure 7 plots the sum spectral efficiency of the proposed hybrid transceiver as a function of the number of users in the secondary network (SN). The SNR value is set to 5 [dB], and the number of users increases from 2 to 16. Furthermore, the number of antennas at communicating nodes and the number of transmitted data streams to each SU are given as N t = N r = 256 , N d k = 16 , and N s = 2 .
Since the effect of IUI increases with the number of users, the rate of change of sum spectral efficiency decreases accordingly. In the case of perfect CSI, the proposed hybrid precoding with the fully connected structure achieves performance close to fully digital beamforming. However, performance degradation occurs when the mixed architecture is taken into consideration. Additionally, the performance of the proposed method also decreases gradually when channel estimation error increases. Finally, the proposed algorithm outperforms hybrid precoding designs presented in [51,52].

5.3. Impact of Number of Antennas on Spectral Efficiency

Figure 8 shows the spectral efficiency performance of the proposed method by varying the number of antennas at the source, relay node, and SUs simultaneously. To visualize the impact of this change, the other system parameters, such as the number of users in the SN and transmitted data streams, are kept constant. It is known that high beamforming gain can be obtained by deploying a large number of transmit and receive antennas, as it results in a narrow beam formation. Numerical results are obtained by following an ascending order for the deployment of antennas at communicating units. The number of antennas at the source, relay station, and each SU is set as 64 (16) and 256 (64) to evaluate the sum spectral efficiency performance. While increasing the number of antennas at the source and relay, it is further assumed, without loss of generality, that N t = N r . Moreover, N s and K are set as 2 and 4, respectively. The spectral efficiency increases in a gradual fashion by increasing the number of antennas, irrespective of hybrid beamforming structures. It is obvious from the obtained results that the suggested fully connected hybrid precoding achieves performance close to full-complexity digital beamforming. On the other hand, the presented mixed hybrid processing structure achieves relatively lower performance when compared with its fully connected hybrid and conventional fully digital counterparts. Simulation results demonstrate that minor performance degradation occurs when the estimated channel matrix (82) with δ = 0.9 ,   0.8 ,   0.7 is taken into consideration.
Figure 9 illustrates the sum spectral efficiency of the proposed approach as a function of the number of antennas at the source and relay node, while the number of antennas at the SUs is kept constant. The number of antennas installed at the source and the relay node is set to N t = N r = { 64 ,   144 ,   256 } . The other parameters like N d k = 16 , K = 4 , and N s = 4 are also kept constant while conducting computer simulations. Just like in the previous cases, the least number of RF chains is employed at the respective communicating nodes, i.e., N t R F = N r R F = K N s and N d k R F = N s , to obtain simulation results.
Under this condition, the system utilizes minimum power for signal transmission and reduces the cost factor as well. From the obtained curves in Figure 9, it is obvious that sum spectral efficiency increases with an increase in the number of antennas, but the rate of change decreases in a gradual manner. This behavior shows that saturation will occur at a significantly large number of antennas, where the rate of change of sum spectral efficiency becomes negligibly small. Simulation results indicate that the suggested fully connected transceiver achieves near-optimal performance, while relatively lower performance is obtained using its mixed-structure counterpart. The performance gap of the proposed method increases gradually by increasing the channel estimation error, irrespective of the hybrid precoding architecture, as shown in Figure 9.
The sum spectral efficiency of the proposed technique is plotted in Figure 10 as a function of the number of antennas at the SUs, while the number of data streams per SU, the number of SUs, the number of antennas at the relay station, and the number of antennas at the source are kept constant. To generate simulation results for visualizing the effect of this change, the fixed parameters are set as N t = N r = 256 , K = 4 , and N s = 4 . Furthermore, each SU is equipped with the number of antennas N d k = { 4 ,   16 ,   36 ,   64 } . The obtained performance curves show that spectral efficiency increases and the rate of change decreases by increasing the number of antennas at SUs. It is also evident from the obtained results that large-scale antenna arrays make it possible to enhance beamforming gain without deploying additional expensive RF chains. Like the previous results, the proposed algorithm with the fully connected structure achieves performance close to that of the full-complexity solution. Moreover, the proposed approach with the mixed structure obtains relatively lower performance at a small number of antennas in comparison to both fully digital and fully connected hybrid solutions. But it approaches the performance of the fully connected architecture with a relatively large number of antennas. In addition, the performance degradation occurs in a gradual fashion by increasing the channel estimation error, i.e., δ = 0.9 ,   0.8 ,   0.7 .

5.4. Impact of Number of Data Streams on Spectral Efficiency

Figure 11 depicts the sum spectral efficiency performance of the proposed approach by changing the number of data streams per user. In this case, the number of antennas at communicating units and the number of SUs are kept constant, and these parameters are set as N t = N r = 256 , N d k = 64 and K = 4 . The number of data streams varies from 2 to 16, and performance curves are obtained at SNR = −10, 0, and 15 [dB]. Simulation results demonstrate that sum spectral efficiency increases and the rate of change decreases when the number of data streams per SU increases. In addition, there is a slight increase in the performance gap between the proposed hybrid precoding and the upper bound defined by full-complexity digital beamforming with an increase in the number of data streams. It is evident from the obtained results that the performance of the fully connected hybrid transceiver is comparatively better than the performance of the mixed hybrid structure. Also, the sum spectral efficiency of the suggested fully connected structure is approximately consistent at different SNR values. There is a minor decrease in the performance of the mixed hybrid structure at δ = 0.8 ,   0.7 when compared with the corresponding curve using perfect CSI, which is obtained by taking the fully connected architecture into account.

5.5. Performance Evaluation with Other Hybrid Beamforming Algorithms

The sum spectral efficiency performance of the suggested method is compared with conventional fully digital beamforming and hybrid precoding techniques given in [51,52], as illustrated in Figure 12 and Figure 13. To show the usefulness of the presented technique, numerical results are obtained by changing the number of antennas at communicating nodes, the number of users in the secondary network, and the number of transmitted data streams to each user. Using the system parameters N t = N r = 144 , N d k = 36 , K = 3 , N s = 2 , and N s u b = 64 , the sum spectral efficiency of various algorithms is plotted in Figure 12.
It is obvious from the performance evaluation curves that the proposed hybrid precoding with the fully connected structure can achieve spectral efficiency close to full-complexity digital beamforming. In addition, the proposed scheme shows relatively lower performance when the mixed hybrid structure is taken into account. It is obvious from Figure 12 that minor degradation in the performance of mixed hybrid precoding occurs, considering imperfect CSI at δ = 0.85 ,   0.75 . Furthermore, the proposed algorithm shows significantly better performance when compared with hybrid beamforming techniques suggested in [51,52].
Similarly, the sum spectral efficiency of different algorithms is plotted in Figure 13, where system parameters are set to N t = N r = 256 , N d k = 64 , K = 4 , N s = 4 , and N s u b = 64 . It is evident from the obtained curves that the suggested hybrid precoding solution gives performance close to the upper bound when the fully connected structure is taken into consideration. On the other hand, degradation in performance occurs in the case of mixed hybrid architecture, as the underlying structure limits the flexibility of large-scale antenna systems. Additionally, a slight decrease in performance is observed owing to channel estimation error. Also, the proposed technique outperforms well-known hybrid beamforming designs presented in [51,52].

5.6. Energy Efficiency Evaluation

Energy consumption is of great practical importance for hybrid transceiver design in mm-wave relay-assisted MU-MIMO systems. This design aspect leads to sub-connected or mixed-structure hybrid beamforming, which enhances the energy efficiency (EE) in the domain of hybrid precoding when compared to the corresponding fully connected structure and full-complexity digital design. The number of phase shifters for a given number of RF chains, antennas, and data streams distinguishes both hybrid processing architectures. It is worthwhile to mention that the fully connected structure does better than the partially or mixed connected architecture in terms of spectral efficiency because it has more degrees of freedom (DoF) in the RF domain, as illustrated in the previous results. The number of phase shifters N P S for the relay node with fully connected and mixed structures can be expressed as
N P S = { N r N r R F ,   f u l l y c o n n e c t e d N r ,   p a r t i a l l y c o n n e c t e d   .  
The relay hybrid precoder and combiner use partially connected and fully connected structures, respectively. Similarly, the source hybrid beamformer and the hybrid combiner at each destination utilize the fully connected and sub-connected architectures, respectively. Hence, the overall network and relay fall into the category of mixed hybrid structures. It is obvious from (66) that the mixed-structure hybrid relay filter requires fewer phase shifters in comparison to its fully connected counterpart. This is an indication that the relay with the mixed architecture consumes less energy than the corresponding fully connected one. The EE is defined as [51]
E E = R s u m P t o t a l   ( bps / Hz / W ) .  
It is worth mentioning that the power amplifier (PA) and the low-noise amplifier (LNA) connected to each antenna on the transmitter and receiver, respectively, the RF chains and phase shifters on both transmitter and receiver, analog-to-digital converters (ADC) and digital-to-analog converters (DAC), and the digital baseband processor are the components in the relay hybrid filter that consume power. Therefore, the total consumed power of the DF relay station is given as
P t o t a l = 2 N r R F P R F + N r R F ( P A D C + P D A C ) + N r ( P P A + P L N A ) + 2 N P S P P S + 2 P B B ,  
where P R F , P A D C , P D A C , P P A , P L N A , P P S , and P B B show the power consumed by the RF chain, analog-to-digital converter, digital-to-analog converter, power amplifier, low-noise amplifier, phase shifter, and digital baseband processor, respectively. Specifically, the energy consumption of fully digital precoding P F D , the proposed fully connected hybrid architecture P F C , and the proposed mixed-structure hybrid transceiver P P C are shown in Table 5.
Using (67), the EE performance of different precoding architectures is compared numerically in this sub-section. This task can be accomplished by plotting the EE of the proposed hybrid beamforming structures and full-complexity precoding as a function of the number of RF chains and the number of antennas at the relay station. To evaluate sum spectral efficiency, the system parameters are set as N t = N r = 100 , N d k = 25 , K = 4 , N s = 1 , S N R = 10   [ dB ] , and N s u b = 64 . Furthermore, the power simulation parameters are given as follows: P B B = 200   mW , P R F = 100   mW , P L N A = P P A = 100   mW , P P S = 10   mW , P D A C = 110   mW , and P A D C = 200   mW . The obtained curves in Figure 14 indicate that the EE decreases as the number of RF chains increases. Owing to the high energy consumption, the EE of the DF-based fully connected architecture decreases more rapidly in comparison to the mixed relay hybrid structure. Also, the EE of the mixed structure decreases slowly as the number of phase shifters in the partially connected hybrid beamformer at the relay transmitter is equal to the number of antennas (66). Finally, the energy consumption is independent of the number of relay RF chains in fully digital beamforming, which always keeps its EE stable. Note that these results are consistent with the theoretical analysis.
Figure 15 demonstrates the EE comparison of different algorithms by changing the number of antennas at the relay station. To evaluate the sum rate, the system parameters are set as follows: N t = N r = { 100 ,   144 ,   196 ,   256 } , N d k = 16 , K = 4 , N s = 1 , S N R = 10   [ dB ] , and N s u b = 64 . It is clear from the obtained curves that the EE of the mixed DF-based scheme degrades more slowly than that of the corresponding fully connected hybrid and fully digital counterparts. Hence, the proposed mixed-structure technique achieves significantly higher EE by increasing the number of antennas.
Figure 16 and Figure 17 describe the relation between sum spectral efficiency and EE by varying the number of transmit antennas at the relay node. Furthermore, the number of data streams and the number of SUs are also changed to gain more insight into the above-mentioned relationship. Figure 16 plots the sum spectral efficiency and the corresponding EE when the number of antennas at the source and relay node are set as N t = N r = { 64 , 100 ,   144 ,   196 ,   256 } , while N d k = 16 , K = 4 , N s = 1 , S N R = 10   [ dB ] , and N s u b = 64 are kept constant, whereas the number of antennas at the CRBS and the relay station is set as N t = N r = { 64 ,   144 ,   256 } , and the other constant parameters are given as N d k = 16 , K = 8 , N s = 2 , S N R = 10   [ dB ] , and N s u b = 64 to evaluate the sum spectral efficiency and the corresponding EE, as shown in Figure 17. It is evident from the obtained curves that an inverse relationship exists between spectral efficiency and EE. Again, these results are compatible with the theoretical analysis.
In the case of unconstrained fully digital precoding, the spectral efficiency is maximum, but this technique achieves minimum EE. The suggested mixed-structure hybrid transceiver, on the other hand, has the highest EE, but its spectral efficiency is lower than that of other beamforming methods.
Figure 18 illustrates the EE performance of different beamforming methods as a function of SNR. The simulation results are obtained by setting N t = N r = 144 , N d k = 36 , K = 4 , N s = 2 , and N s u b = 64 . As expected, the presented mixed-structure hybrid beamforming technique shows the highest EE performance in comparison to the other two precoding methods.

5.7. Performance Evaluation at Higher Frequencies (Beam Splitting Effect)

The common (frequency-independent) analog RF processing components are required under wideband assumptions, as already mentioned. However, this design does not hold true when large antenna arrays are deployed, and signal transmission uses significantly higher frequencies. Since the array response vectors become frequency-dependent, the assumption of frequency-flat array response vectors does not remain valid at considerably higher frequencies. These conditions cause a beam-squint effect that splits beams into different physical directions at different frequencies. This process is also termed a spatial wideband effect in the time domain. Serious performance degradation may occur if this problem is not addressed properly while designing hybrid wideband transceivers. To describe the spatial wideband effect on the performance of the proposed algorithm, the following mm-wave channel matrix in the frequency domain is considered [1].
H B S [ n ] = γ i = 1 N c l l = 1 N r a y α i l a r ( φ i , l , n )     a t ( ψ i , l , n ) H   e j 2 π τ i , l f n   ,  
where a t ( . ) and a r ( . ) are the beam steering vectors at the source and destination, respectively. Notation ψi,l,n and φ i , l , n specify the angle of departure and arrival, respectively, corresponding to the l -th relay in the i -th cluster at sub-carrier frequency n . α i l and τ i , l represent the path gain and path delay of the l -th propagation path in the i -th cluster, respectively, and γ = N t N r N c l N r a y stands for the normalization factor. The beam steering vectors   a t ( ψ i , l , n ) and a r ( φ i , l , n ) can be characterized as [1]
  a t ( ψ i , l , n ) = 1 N t [ 1 ,   e j 2 π ψ i , l , n , , e j ( N t 1 ) 2 π ψ i , l , n ] T ,  
a r ( φ i , l , n ) = 1 N r [ 1 ,   e j 2 π φ i , l , n , , e j ( N r 1 ) 2 π φ i , l , n ] T ,  
where ψ i , l , n and φ i , l , n can be determined using the closed-form expressions as follows:
ψ i , l , n = f n c d sin ( ω i ,   l ) ,  
φ i , l , n = f n c d sin ( μ i ,   l ) ,  
where d = c 2 f c = λ 2 is the antenna spacing; λ is the wavelength at the central frequency f c , which cannot be changed after the antenna fabrication; and c represents the speed of light. ω i ,   l [ 0 ,   2 π ) and μ i ,   l [ 0 ,   2 π ) denote the angle of departure and the angle of arrival at the source and destination, respectively, and f n = f c + f s N s u b ( n 1 N s u b 1 2 ) , where f s shows the bandwidth. The simulation parameters for describing the spatial wideband effect on the performance of the proposed technique are given in Table 6.
Figure 19 demonstrates the impact of the spatial wideband effect on the sum spectral efficiency of the proposed technique, where the number of antennas N t = N r = 36 , N d k = 9 and the number of transmitted data streams N s = 3 are taken into consideration. It is assumed that the system is serving four SUs, i.e., K = 4 . The optimal unconstrained fully digital beamforming defines the upper bound for comparing the performance of the presented approach. Numerical results illustrate that the proposed algorithm can achieve more than 91% of the optimal sum rate with a fully connected structure. Also, the suggested mixed hybrid structure can obtain approximately 86% of the optimal spectral efficiency.
Figure 20 compares the performance of the proposed algorithm with the corresponding full-complexity precoding by increasing the number of antennas at communicating nodes. The number of antennas, the number of SUs, and the number of data streams are given as N t = N r = 64 , N d k = 16 , K = 4 , and N s = 4 , respectively. In this case, the fully connected structure shows more than 85% of the optimal sum rate, while the mixed structure achieves nearly 79% of the optimal spectral efficiency. Computer simulations demonstrate that performance decreases by increasing the number of antennas compared to the previous results, which is consistent with the theoretical analysis. In conclusion, the proposed scheme shows relatively lower performance under spatial wideband effects.

5.8. Impact of Uniform Circular Array (UCA)

Before describing the impact of the uniform circular array (UCA) on the performance of the proposed method, it is worth mentioning that wideband communication with UCA leads to a beam defocus effect, in contrast to the spatial wideband effect [78]. This results in a considerable performance loss if measures are not taken to tackle this challenge. To generate simulation results, the frequency domain wireless channel based on the Saleh–Valenzuela model [78] is given as follows:
H U C A [ m ] = η l = 1 L g l a t m ( ψ l )   a r m ( φ l ) H   e j 2 π τ l f m ,
where a t m ( . ) and a t m ( . ) are the array response vectors at the source and destination, respectively. The complex gain and delay of the l -th propagation path are denoted by g l and τ l , respectively, while η = N / L stands for the normalization factor, where N shows the number of antenna elements in UCA. The angle of departure and the angle of arrival corresponding to the l -th path is represented as ψ l and φ l , respectively. The beam steering vector considering the physical direction ψ can be expressed as follows:
a t m ( ψ ) = 1 N [ e j ρ m cos ( ψ Φ 0 ) , , e j ρ m cos ( ψ Φ N 1 ) ] T ,  
where ρ m = 2 π R f m c for m = 1 , , M and Φ n = 2 π n N for n = 1 , , N 1 . To satisfy the strict requirements of the array deployment, a uniform linear array (ULA) is used for the destination. Hence, the array response vector at the secondary user is modeled as follows:
a r m ( φ ) = 1 N [ 1 , e j 2 π d f m c sin ( φ ) , , e j 2 π ( N 1 ) d f m c sin ( φ ) ] T .  
The simulation parameters for visualizing the impact of UCA on the performance of the proposed technique are given in Table 7 (shown on the next page).
Figure 21 illustrates the spectral efficiency performance of the proposed approach, considering UCA at the source and relay nodes, while a uniform linear array (ULA) is deployed at each SU. The optimal fully digital beamforming is considered as the baseline for comparison. The number of antennas and the number of data streams are set as follows: N t = N r = 64 , N d k = 16 , K = 4 , and N s = 8 . It is evident from the obtained results that the fully connected structure obtains approximately 83% of the optimal value, while the mixed architecture achieves nearly 76% of the baseline.
Figure 22 visualizes the impact on the performance of the proposed algorithm by increasing the number of antennas and the number of transmitted data streams. To generate simulation results, the various system parameters are given as N t = N r = 256 , N d k = 64 , K = 4 , and N s = 16 . Numerical results show that the proposed method achieves approximately the same performance as obtained with a small number of antennas and data streams.
Note: It is worth noting that the proposed technique is different from the previous work [70], where a fully connected hybrid structure was considered at communicating nodes. The primary focus of this work is to derive an energy-efficient hybrid beamforming solution for CR-based relay-assisted MU-MIMO systems under frequency-selective channels. To achieve this goal, a combination of a fully connected architecture and a partially connected structure is employed at the relay node, while a partially connected structure is deployed at each SU. This structure is of great practical importance to achieve an energy-efficient hybrid beamforming solution while maximizing the achievable sum rate. All simulation results focus on comparing the performance of the proposed mixed hybrid architecture with the corresponding fully connected structure. Additionally, a sub-section is included in the simulation results that describes the energy consumption of the fully connected architecture, mixed structure, and fully digital precoding. Numerical results are also provided to show the energy efficiency of mixed structures in comparison to fully connected hybrid precoding and full-complexity digital beamforming. Finally, the spatial wideband effect and the impact of UCA on the performance of the presented technique are also included to show the effectiveness of the proposed approach through simulation results.

6. Conclusions

This paper investigates a hybrid wideband mm-wave transceiver for maximizing the sum rate in relay-assisted MU-MIMO CRNs. The underlying network architecture is of great practical importance in enhancing the efficiency of spectrum utilization. In addition, the overall performance can also be improved due to the cooperative communication paradigm. To achieve an energy-efficient solution for hybrid precoding, a combination of partially connected and fully connected structures is taken into consideration. A comparative study is also conducted between fully connected and mixed structures through computer simulations, which describes the better energy efficiency performance of the latter architecture compared to the former one. To reduce the complexity of the original optimization problem, an endeavor is made to decompose it into sub-problems. The focus of the proposed algorithm is to maximize the sum rate of SUs by keeping interference experienced by the PU within an acceptable limit. This task can be achieved by following a decoupled approach to address each sub-problem, where the RF and baseband processing components are derived separately. Moreover, the sum rate is maximized through the analog beamformers at different communicating nodes, and interference is minimized via frequency-dependent digital precoders and combiners. It is evident from the obtained results that the proposed method achieves performance close to that of its fully connected counterpart when different system parameters are changed over a wide range. A small performance degradation occurs in a gradual manner by increasing the channel estimation error in discrete steps. Simulation results also demonstrate that the mixed hybrid structure shows better energy efficiency when compared with its fully connected and full-complexity counterparts. This aspect of the suggested design is of prime significance. For promising future research directions: (1) The proposed design approach can be extended to multi-relay MIMO networks with other practical constraints due to the CR technology. These constraints mainly include interference from primary networks and power allocation to achieve different goals, such as minimizing the asymptotic outage probability, maximizing end-to-end throughput, and improving transmission performance. (2) It is also of great interest to investigate the performance of the proposed scheme by replacing the relay node with reconfigurable intelligent surfaces (RISs). They play an essential role in finding energy-efficient solutions with low complexity. Additionally, the deployment of a large number of RISs can achieve the same performance as relay networks.

Author Contributions

Software Development, H.M.T.M. and J.-I.B.; Conceptualization, H.M.T.M.; Validation, H.-K.S.; Formal Analysis, J.-I.B.; Investigation, M.A.; Resources, H.-K.S.; Data Curation, W.M.A.; Mathematical Modeling, H.M.T.M. and M.A.; Writing—Original Draft, H.M.T.M., M.A. and W.M.A.; Supervision, H.-K.S.; Project Administration, H.-K.S.; Funding Acquisition, H.-K.S. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported in part by Institute of Information & Communications Technology Planning & Evaluation (IITP) under the Metaverse support program to nurture the best talents (IITP-2024-RS-2023-00254529) grant funded by the Korean government (MSIT); in part by the Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Education (2020R1A6A1A03038540); and in part by the Institute for Information & Communication Technology Promotion (IITP) grant funded by the Korean government (MSIT) (No. 2017-0-00217, Development of Immersive Signage Based on Variable Transparency and Multiple Layers).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Data can be generated using the mathematical expressions given in the manuscript to generate simulations.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Dai, L.; Tan, J.; Chen, Z.; Poor, H.V. Delay-Phase Precoding for Wideband THz Massive MIMO. IEEE Trans. Wirel. Commun. 2022, 21, 7271–7286. [Google Scholar] [CrossRef]
  2. Liyanaarachchi, S.D.; Riihonen, T.; Barneto, C.B.; Valkama, M. Joint MIMO Communications and Sensing With Hybrid Beamforming Architecture and OFDM Waveform Optimization. IEEE Trans. Wirel. Commun. 2024, 23, 1565–1580. [Google Scholar] [CrossRef]
  3. Zhang, Z.; Xiao, Y.; Ma, Z.; Xiao, M.; Ding, Z.; Lei, X.; Karagiannidis, G.K.; Fan, P. 6G Wireless Networks: Vision, Requirements, Architecture, and Key Technologies. IEEE Veh. Technol. Mag. 2019, 14, 28–41. [Google Scholar] [CrossRef]
  4. Akyildiz, I.F.; Kak, A.; Nie, S. 6G and Beyond: The Future of Wireless Communications Systems. IEEE Access 2020, 8, 133995–134030. [Google Scholar] [CrossRef]
  5. Yu, H.; Tuan, H.D.; Dutkiewicz, E.; Poor, H.V.; Hanzo, L. Low-Resolution Hybrid Beamforming in Millimeter-Wave Multi-User Systems. IEEE Trans. Veh. Technol. 2023, 72, 8941–8955. [Google Scholar] [CrossRef]
  6. Wu, Q.; Xu, J.; Zeng, Y.; Ng, D.W.; Al-Dhahir, N.; Schober, R.; Swindlehurst, A.L. A Comprehensive Overview on 5G-and-Beyond Networks With UAVs: From Communications to Sensing and Intelligence. IEEE J. Sel. Areas Commun. 2021, 39, 2912–2945. [Google Scholar] [CrossRef]
  7. Palattella, M.R.; Dohler, M.; Grieco, A.; Rizzo, G.; Torsner, J.; Engel, T.; Ladid, L. Internet of Things in the 5G Era: Enablers, Architecture, and Business Models. IEEE J. Sel. Areas Commun. 2016, 34, 510–527. [Google Scholar] [CrossRef]
  8. Wang, D.; Chen, D.; Song, B.; Guizani, N.; Yu, X.; Du, X. From IoT to 5G I-IoT: The Next Generation IoT-Based Intelligent Algorithms and 5G Technologies. IEEE Commun. Mag. 2018, 56, 114–120. [Google Scholar] [CrossRef]
  9. Akyildiz, I.F.; Lee, W.-Y.; Vuran, M.C.; Mohanty, S. A survey on spectrum management in cognitive radio networks. IEEE Commun. Mag. 2008, 46, 40–48. [Google Scholar] [CrossRef]
  10. Chatterjee, I.; Singh, J.; Srivastava, S.; Jagannatham, A.K. Frequency Selective Hybrid Beamforming and Optimal Power Loading for Multiuser Millimeter Wave Cognitive Radio Networks. IEEE Access 2023, 11, 96052–96067. [Google Scholar] [CrossRef]
  11. Singh, J.; Chatterjee, I.; Srivastava, S.; Agrahari, A.; Jagannatham, A.K.; Hanzo, L. Hybrid Transceiver Design and Optimal Power Allocation for the Cognitive mmWave Multiuser MIMO Downlink Relying on Limited Feedback. IEEE Open J. Veh. Technol. 2023, 4, 241–256. [Google Scholar] [CrossRef]
  12. Rony, R.I.; Lopez-Aguilera, E.; Garcia-Villegas, E. Dynamic Spectrum Allocation Following Machine Learning-Based Traffic Predictions in 5G. IEEE Access 2021, 9, 143458–143472. [Google Scholar] [CrossRef]
  13. Chen, B.; Zhu, X.; Tu, X. Precoder Design for Multi-Hop Cognitive MIMO Relay Systems With Finite-Alphabet Inputs. IEEE Trans. Veh. Technol. 2023, 72, 2231–2245. [Google Scholar] [CrossRef]
  14. Bastami, A.H.; Kazemi, P. Cognitive Multi-Hop Multi-Branch Relaying: Spectrum Leasing and Optimal Power Allocation. IEEE Trans. Wirel. Commun. 2019, 18, 4075–4088. [Google Scholar] [CrossRef]
  15. Sboui, L.; Ghazzai, H.; Massoud, Y. Integrating Cognitive Radio MIMO UAVs in Cellular Networks for 5G and Beyond. In Proceedings of the 2022 International Conference on Electrical, Computer, Communications and Mechatronics Engineering (ICECCME), Male, Maldives, 16–18 November 2022; pp. 1–6. [Google Scholar] [CrossRef]
  16. Xu, C.; Zheng, M.; Liang, W.; Yu, H.; Liang, Y.-C. End-to-End Throughput Maximization for Underlay Multi-Hop Cognitive Radio Networks With RF Energy Harvesting. IEEE Trans. Wirel. Commun. 2017, 16, 3561–3572. [Google Scholar] [CrossRef]
  17. Al-Ali, M.H.; Ho, K.C. Transmit Precoding in Underlay MIMO Cognitive Radio With Unavailable or Imperfect Knowledge of Primary Interference Channel. IEEE Trans. Wirel. Commun. 2016, 15, 5143–5155. [Google Scholar] [CrossRef]
  18. Al-Sudani, H.; Thabit, A.A.; Dalveren, Y. Cognitive Radio and Its Applications in the New Trend of Communication System: A Review. In Proceedings of the 2022 5th International Conference on Engineering Technology and Its Applications (IICETA), Al-Najaf, Iraq, 31 May–1 June 2022; pp. 419–423. [Google Scholar] [CrossRef]
  19. Yuan, M.; Wang, H.; Yin, H.; He, D. Alternating Optimization Based Hybrid Transceiver Designs for Wideband Millimeter-Wave Massive Multiuser MIMO-OFDM Systems. IEEE Trans. Wirel. Commun. 2023, 22, 9201–9217. [Google Scholar] [CrossRef]
  20. Moghadam, N.N.; Fodor, G.; Bengtsson, M.; Love, D.J. On the Energy Efficiency of MIMO Hybrid Beamforming for Millimeter-Wave Systems With Nonlinear Power Amplifiers. IEEE Trans. Wirel. Commun. 2018, 17, 7208–7221. [Google Scholar] [CrossRef]
  21. López-Valcarce, R.; González-Prelcic, N. Hybrid Beamforming Designs for Frequency-Selective mmWave MIMO Systems With Per-RF Chain or Per-Antenna Power Constraints. IEEE Trans. Wirel. Commun. 2022, 21, 5770–5784. [Google Scholar] [CrossRef]
  22. Zhang, J.; Chen, S.; Lin, Y.; Zheng, J.; Ai, B.; Hanzo, L. Cell-Free Massive MIMO: A New Next-Generation Paradigm. IEEE Access 2019, 7, 99878–99888. [Google Scholar] [CrossRef]
  23. Huang, C.; Yang, Z.; Alexandropoulos, G.C.; Xiong, K.; Wei, L.; Yuen, C.; Zhang, Z.; Debbah, M. Multi-Hop RIS-Empowered Terahertz Communications: A DRL-Based Hybrid Beamforming Design. IEEE J. Sel. Areas Commun. 2021, 39, 1663–1677. [Google Scholar] [CrossRef]
  24. Xia, Q.; Jornet, J.M. Multi-Hop Relaying Distribution Strategies for Terahertz-Band Communication Networks: A Cross-Layer Analysis. IEEE Trans. Wirel. Commun. 2022, 21, 5075–5089. [Google Scholar] [CrossRef]
  25. Nasir, A.A.; Tuan, H.D.; Duong, T.Q.; Poor, H.V.; Hanzo, L. Hybrid Beamforming for Multi-User Millimeter-Wave Networks. IEEE Trans. Veh. Technol. 2020, 69, 2943–2956. [Google Scholar] [CrossRef]
  26. Li, M.; Liu, W.; Tian, X.; Wang, Z.; Liu, Q. Iterative hybrid precoder and combiner design for mmWave MIMO-OFDM systems. Wirel. Netw. 2019, 25, 4829–4837. [Google Scholar] [CrossRef]
  27. Badrudeen, A.A.; Leow, C.Y.; Won, S. Performance Analysis of Hybrid Beamforming Precoders for Multiuser Millimeter Wave NOMA Systems. IEEE Trans. Veh. Technol. 2020, 69, 8739–8752. [Google Scholar] [CrossRef]
  28. Liu, Y.; Feng, Q.; Wu, Q.; Zhang, Y.; Jin, M.; Qiu, T. Energy-Efficient Hybrid Precoding With Low Complexity for mmWave Massive MIMO Systems. IEEE Access 2019, 7, 95021–95032. [Google Scholar] [CrossRef]
  29. Gao, H.; Liu, D.; Zhang, Z. Energy Efficiency Maximization for Partially-Connected Hybrid Beamforming Architecture with Low-Resolution DACs. IEEE Trans. Commun. 2024, 34, 998–1009. [Google Scholar] [CrossRef]
  30. Gautam, P.R.; Zhang, L.; Fan, P. Hybrid MMSE Precoding for Millimeter Wave MU-MISO via Trace Maximization. IEEE Trans. Wirel. Commun. 2024, 23, 1999–2010. [Google Scholar] [CrossRef]
  31. Hu, Y.; Qian, H.; Kang, K.; Luo, X.; Zhu, H. Joint Precoding Design for Sub-Connected Hybrid Beamforming System. IEEE Trans. Wirel. Commun. 2024, 23, 1199–1212. [Google Scholar] [CrossRef]
  32. Alouzi, M.; Al-Kamali, F.; D’Amours, C.; Chan, F. Direct Conversion of Hybrid Precoding and Combining From Full Array Architecture to Subarray Architecture for mmWave MIMO Systems. IEEE Access 2023, 11, 35457–35468. [Google Scholar] [CrossRef]
  33. Gupta, A.K.; Andrews, J.G.; Heath, R.W. On the Feasibility of Sharing Spectrum Licenses in mmWave Cellular Systems. IEEE Trans. Commun. 2016, 64, 3981–3995. [Google Scholar] [CrossRef]
  34. Rebato, M.; Boccardi, F.; Mezzavilla, M.; Rangan, S.; Zorzi, M. Hybrid Spectrum Sharing in mmWave Cellular Networks. IEEE Trans. Cogn. Commun. Netw. 2017, 3, 155–168. [Google Scholar] [CrossRef]
  35. Ghadikolaei, H.S.; Ghauch, H.; Fodor, G.; Skoglund, M.; Fischione, C. A Hybrid Model-Based and Data-Driven Approach to Spectrum Sharing in mmWave Cellular Networks. IEEE Trans. Cogn. Commun. Netw. 2020, 6, 1269–1282. [Google Scholar] [CrossRef]
  36. Li, G.; Irnich, T.; Shi, C. Coordination context-based spectrum sharing for 5G millimeter-wave networks. In Proceedings of the 2014 9th International Conference on Cognitive Radio Oriented Wireless Networks and Communications (CROWNCOM), Oulu, Finland, 2–4 June 2014; pp. 32–38. [Google Scholar]
  37. Shokri-Ghadikolaei, H.; Boccardi, F.; Fischione, C.; Fodor, G.; Zorzi, M. Spectrum Sharing in mmWave Cellular Networks via Cell Association, Coordination, and Beamforming. IEEE J. Sel. Areas Commun. 2016, 34, 2902–2917. [Google Scholar] [CrossRef]
  38. Vrontos, C.; Boccardi, F.; Armour, S.; Mellios, E.; Butler, J. Performance Evaluation of Spectrum Sharing in mmWave Cellular Networks using Ray-Tracing. In Proceedings of the 2020 IEEE Wireless Communications and Networking Conference (WCNC), Seoul, Republic of Korea, 25–28 May 2020; pp. 1–6. [Google Scholar] [CrossRef]
  39. Sangdeh, P.K.; Pirayesh, H.; Quadri, A.; Zeng, H. A Practical Spectrum Sharing Scheme for Cognitive Radio Networks: Design and Experiments. IEEE/ACM Trans. Netw. 2020, 28, 1818–1831. [Google Scholar] [CrossRef]
  40. Khoshkholgh, M.G.; Navaie, K.; Yanikomeroglu, H. Interference Management in Underlay Spectrum Sharing Using Indirect Power Control Signalling. IEEE Trans. Wirel. Commun. 2013, 12, 3264–3277. [Google Scholar] [CrossRef]
  41. Sun, Y.; Gao, Z.; Wang, H.; Shim, B.; Gui, G.; Mao, G.; Adachi, F. Principal Component Analysis-Based Broadband Hybrid Precoding for Millimeter-Wave Massive MIMO Systems. IEEE Trans. Wirel. Commun. 2020, 19, 6331–6346. [Google Scholar] [CrossRef]
  42. Sohrabi, F.; Yu, W. Hybrid Digital and Analog Beamforming Design for Large-Scale Antenna Arrays. IEEE J. Sel. Top. Signal Process. 2016, 10, 501–513. [Google Scholar] [CrossRef]
  43. Sohrabi, F.; Yu, W. Hybrid Analog and Digital Beamforming for mmWave OFDM Large-Scale Antenna Arrays. IEEE J. Sel. Areas Commun. 2017, 35, 1432–1443. [Google Scholar] [CrossRef]
  44. Park, S.; Alkhateeb, A.; Heath, R.W. Dynamic Subarrays for Hybrid Precoding in Wideband mmWave MIMO Systems. IEEE Trans. Wirel. Commun. 2017, 16, 2907–2920. [Google Scholar] [CrossRef]
  45. Dsouza, K.B.; Prasad, K.N.R.S.V.; Bhargava, V.K. Hybrid Precoding With Partially Connected Structure for Millimeter Wave Massive MIMO OFDM: A Parallel Framework and Feasibility Analysis. IEEE Trans. Wirel. Commun. 2018, 17, 8108–8122. [Google Scholar] [CrossRef]
  46. Zhao, X.; Lin, T.; Zhu, Y.; Zhang, J. Partially-Connected Hybrid Beamforming for Spectral Efficiency Maximization via a Weighted MMSE Equivalence. IEEE Trans. Wirel. Commun. 2021, 20, 8218–8232. [Google Scholar] [CrossRef]
  47. Tsai, T.-H.; Chiu, M.-C.; Chao, C.-c. Sub-System SVD Hybrid Beamforming Design for Millimeter Wave Multi-Carrier Systems. IEEE Trans. Wirel. Commun. 2019, 18, 518–531. [Google Scholar] [CrossRef]
  48. Du, J.; Xu, W.; Zhao, C.; Vandendorpe, L. Weighted Spectral Efficiency Optimization for Hybrid Beamforming in Multiuser Massive MIMO-OFDM Systems. IEEE Trans. Veh. Technol. 2019, 68, 9698–9712. [Google Scholar] [CrossRef]
  49. Zilli, G.M.; Zhu, W.-P. Constrained Tensor Decomposition-Based Hybrid Beamforming for Mmwave Massive MIMO-OFDM Communication Systems. IEEE Trans. Veh. Technol. 2021, 70, 5775–5788. [Google Scholar] [CrossRef]
  50. Yuan, H.; An, J.; Yang, N.; Yang, K.; Duong, T.Q. Low Complexity Hybrid Precoding for Multiuser Millimeter Wave Systems Over Frequency Selective Channels. IEEE Trans. Veh. Technol. 2019, 68, 983–987. [Google Scholar] [CrossRef]
  51. Yu, X.; Shen, J.-C.; Zhang, J.; Letaief, K.B. Alternating Minimization Algorithms for Hybrid Precoding in Millimeter Wave MIMO Systems. IEEE J. Sel. Top. Signal Process. 2016, 10, 485–500. [Google Scholar] [CrossRef]
  52. Ayach, O.E.; Rajagopal, S.; Abu-Surra, S.; Pi, Z.; Heath, R.W. Spatially Sparse Precoding in Millimeter Wave MIMO Systems. IEEE Trans. Wirel. Commun. 2014, 13, 1499–1513. [Google Scholar] [CrossRef]
  53. Lee, J.; Lee, Y.H. AF relaying for millimeter wave communication systems with hybrid RF/baseband MIMO processing. In Proceedings of the 2014 IEEE International Conference on Communications (ICC), Sydney, Australia, 10–14 June 2014; pp. 5838–5842. [Google Scholar] [CrossRef]
  54. Xue, X.; Bogale, T.E.; Wang, X.; Wang, Y.; Long, B.L. Hybrid analog-digital beamforming for multiuser MIMO millimeter wave relay systems. In Proceedings of the 2015 IEEE/CIC International Conference on Communications in China (ICCC), Shenzhen, China, 2–4 November 2015; pp. 1–7. [Google Scholar] [CrossRef]
  55. Xue, X.; Wang, Y.; Dai, L.; Masouros, C. Relay Hybrid Precoding Design in Millimeter-Wave Massive MIMO Systems. IEEE Trans. Signal Process. 2018, 66, 2011–2026. [Google Scholar] [CrossRef]
  56. Xu, W.; Wang, Y.; Xue, X. ADMM for Hybrid Precoding of Relay in Millimeter-Wave Massive MIMO System. In Proceedings of the 2018 IEEE 88th Vehicular Technology Conference (VTC-Fall), Chicago, IL, USA, 27–30 August 2018; pp. 1–5. [Google Scholar] [CrossRef]
  57. Xing, C.; Zhao, X.; Wang, S.; Xu, W.; Ng, S.X.; Chen, S. Hybrid Transceiver Optimization for Multi-Hop Communications. IEEE J. Sel. Areas Commun. 2020, 38, 1880–1895. [Google Scholar] [CrossRef]
  58. Zhang, D.; Wang, Y.; Li, X.; Xiang, W. Hybridly Connected Structure for Hybrid Beamforming in mmWave Massive MIMO Systems. IEEE Trans. Commun. 2018, 66, 662–674. [Google Scholar] [CrossRef]
  59. Chen, Y.; Chen, D.; Jiang, T.; Hanzo, L. Millimeter-Wave Massive MIMO Systems Relying on Generalized Sub-Array-Connected Hybrid Precoding. IEEE Trans. Veh. Technol. 2019, 68, 8940–8950. [Google Scholar] [CrossRef]
  60. Fozooni, M.; Ngo, H.Q.; Matthaiou, M.; Jin, S.; Alexandropoulos, G.C. Hybrid Processing Design for Multipair Massive MIMO Relaying With Channel Spatial Correlation. IEEE Trans. Commun. 2019, 67, 107–123. [Google Scholar] [CrossRef]
  61. Luo, Z.; Zhan, C.; Zhang, L.; Zhang, R. Robust Hybrid Beamforming in Millimeter Wave Relay Networks With Imperfect CSI. IEEE Access 2018, 6, 73093–73101. [Google Scholar] [CrossRef]
  62. Vázquez, M.Á.; Blanco, L.; Pérez-Neira, A.I. Hybrid Analog–Digital Transmit Beamforming for Spectrum Sharing Backhaul Networks. IEEE Trans. Signal Process. 2018, 66, 2273–2285. [Google Scholar] [CrossRef]
  63. Xu, L.; Sun, L.; Xia, G.; Liu, T.; Shu, F.; Zhang, Y.; Wang, J. Secure Hybrid Digital and Analog Precoder for mmWave Systems With Low-Resolution DACs and Finite-Quantized Phase Shifters. IEEE Access 2019, 7, 109763–109775. [Google Scholar] [CrossRef]
  64. Kong, Z.; Song, J.; Wang, C.; Chen, H.; Hanzo, L. Hybrid Analog-Digital Precoder Design for Securing Cognitive Millimeter Wave Networks. IEEE Trans. Inf. Forensics Secur. 2021, 16, 4019–4034. [Google Scholar] [CrossRef]
  65. Tsinos, C.G.; Chatzinotas, S.; Ottersten, B. Hybrid Analog-Digital Transceiver Designs for Multi-User MIMO mmWave Cognitive Radio Systems. IEEE Trans. Cogn. Commun. Netw. 2020, 6, 310–324. [Google Scholar] [CrossRef]
  66. Zhang, Y.; Du, J.; Chen, Y.; Han, M.; Li, X. Optimal Hybrid Beamforming Design for Millimeter-Wave Massive Multi-User MIMO Relay Systems. IEEE Access 2019, 7, 157212–157225. [Google Scholar] [CrossRef]
  67. Song, N.; Yang, T.; Sun, H. Efficient Hybrid Beamforming for Relay Assisted Millimeter-Wave Multi-User Massive MIMO. In Proceedings of the 2019 IEEE Wireless Communications and Networking Conference (WCNC), Marrakesh, Morocco, 15–18 April 2019; pp. 1–6. [Google Scholar] [CrossRef]
  68. Han, M.; Du, J.; Zhang, Y.; Li, X.; Rabie, K.M.; Nauryzbayev, G. Efficient Hybrid Beamforming Design in mmWave Massive MU-MIMO DF Relay Systems With the Mixed-Structure. IEEE Access 2021, 9, 66141–66153. [Google Scholar] [CrossRef]
  69. Han, M.; Du, J.; Zhang, Y.; Chen, Y.; Li, X.; Rabie, K.M.; Kara, F. Hybrid Beamforming With Sub-Connected Structure for MmWave Massive Multi-User MIMO Relay Systems. IEEE Trans. Green Commun. Netw. 2023, 7, 772–786. [Google Scholar] [CrossRef]
  70. Abbasi, Z.; Mustafa, H.M.T.; Baik, J.-I.; Adnan, M.; Awan, W.M.; Song, H.-K. Hybrid Wideband Beamforming for Sum Spectral Efficiency Maximization in Millimeter-Wave Relay-Assisted Multiuser MIMO Cognitive Radio Networks. Mathematics 2023, 11, 4939. [Google Scholar] [CrossRef]
  71. Molu, M.M.; Xiao, P.; Khalily, M.; Cumanan, K.; Zhang, L.; Tafazolli, R. Low-Complexity and Robust Hybrid Beamforming Design for Multi-Antenna Communication Systems. IEEE Trans. Wirel. Commun. 2018, 17, 1445–1459. [Google Scholar] [CrossRef]
  72. Samimi, M.K.; Rappaport, T.S. 3-D Millimeter-Wave Statistical Channel Model for 5G Wireless System Design. IEEE Trans. Microw. Theory Tech. 2016, 64, 2207–2225. [Google Scholar] [CrossRef]
  73. Tan, W.; Jin, S.; Wen, C.-K.; Jiang, T. Spectral efficiency of multi-user millimeter wave systems under single path with uniform rectangular arrays. EURASIP J. Wirel. Commun. Netw. 2017, 2017, 181. [Google Scholar] [CrossRef]
  74. Jiang, L.; Jafarkhani, H. mmWave Amplify-and-Forward MIMO Relay Networks With Hybrid Precoding/Combining Design. IEEE Trans. Wirel. Commun. 2020, 19, 1333–1346. [Google Scholar] [CrossRef]
  75. Wu, X.; Liu, D.; Yin, F. Hybrid Beamforming for Multi-User Massive MIMO Systems. IEEE Trans. Commun. 2018, 66, 3879–3891. [Google Scholar] [CrossRef]
  76. Ni, W.; Dong, X. Hybrid Block Diagonalization for Massive Multiuser MIMO Systems. IEEE Trans. Commun. 2016, 64, 201–211. [Google Scholar] [CrossRef]
  77. Gao, X.; Dai, L.; Han, S.; Chih-Lin, I.; Heath, R.W. Energy-Efficient Hybrid Analog and Digital Precoding for MmWave MIMO Systems With Large Antenna Arrays. IEEE J. Sel. Areas Commun. 2016, 34, 998–1009. [Google Scholar] [CrossRef]
  78. Wu, Z.; Dai, L. The Manifestation of Spatial Wideband Effect in Circular Array: From Beam Split to Beam Defocus. IEEE Trans. Commun. 2024, 72, 3064–3078. [Google Scholar] [CrossRef]
Figure 1. (a) System block diagram of a mixed-structure cognitive radio hybrid wideband transceiver in mm-wave relay-assisted MU-MIMO networks, (b) Fully Connected Structure, (c) Fully Digital system.
Figure 1. (a) System block diagram of a mixed-structure cognitive radio hybrid wideband transceiver in mm-wave relay-assisted MU-MIMO networks, (b) Fully Connected Structure, (c) Fully Digital system.
Sensors 24 03713 g001
Figure 2. Complexity vs. number of antennas when N s u b = 64 , K = 5 , N t R F = N r R F = K N s , N d R F = N s = 5 , and N d k = 9 : Comparison of the proposed technique with other exiting hybrid precoding algorithms [41,43,47,50].
Figure 2. Complexity vs. number of antennas when N s u b = 64 , K = 5 , N t R F = N r R F = K N s , N d R F = N s = 5 , and N d k = 9 : Comparison of the proposed technique with other exiting hybrid precoding algorithms [41,43,47,50].
Sensors 24 03713 g002
Figure 3. Sum spectral efficiency comparison of fully digital precoding, the proposed fully connected hybrid structure, and mixed hybrid architecture considering channel estimation error. The system parameters are set as N t = N r = 256 , N d k = 64 , K = 4 , N s = { 2 ,   4 ,   8 } , and N s u b = 64 .
Figure 3. Sum spectral efficiency comparison of fully digital precoding, the proposed fully connected hybrid structure, and mixed hybrid architecture considering channel estimation error. The system parameters are set as N t = N r = 256 , N d k = 64 , K = 4 , N s = { 2 ,   4 ,   8 } , and N s u b = 64 .
Sensors 24 03713 g003
Figure 4. Sum spectral efficiency vs. SNR: Comparison of full-complexity digital precoding, the proposed fully connected hybrid architecture, and the mixed hybrid structure considering an imperfect channel with δ = 0.85 ,   0.75 . The system parameters are set as N t = N r = 144 , N d k = 36 , K = 3 , N s = { 2 ,   3 ,   4 } , and N s u b = 64 for conducting computer simulations.
Figure 4. Sum spectral efficiency vs. SNR: Comparison of full-complexity digital precoding, the proposed fully connected hybrid architecture, and the mixed hybrid structure considering an imperfect channel with δ = 0.85 ,   0.75 . The system parameters are set as N t = N r = 144 , N d k = 36 , K = 3 , N s = { 2 ,   3 ,   4 } , and N s u b = 64 for conducting computer simulations.
Sensors 24 03713 g004
Figure 5. Sum spectral efficiency vs. SNR when N t = N r = 144 , N d k = 16 , K = 6, N s = { 2 ,   4 } , and N s u b = 64 . The estimated channel matrix is generated at δ = 0.9 ,   0.8 ,   0.7 .
Figure 5. Sum spectral efficiency vs. SNR when N t = N r = 144 , N d k = 16 , K = 6, N s = { 2 ,   4 } , and N s u b = 64 . The estimated channel matrix is generated at δ = 0.9 ,   0.8 ,   0.7 .
Sensors 24 03713 g005
Figure 6. Achievable rate vs. SNR, considering URA: N t = N r = 200 , N d k = 50 , K = 4 , N s = 5 , and N s u b = 64 . The estimated channel matrix is generated at δ = 0.9 ,   0.8 ,   0.7 .
Figure 6. Achievable rate vs. SNR, considering URA: N t = N r = 200 , N d k = 50 , K = 4 , N s = 5 , and N s u b = 64 . The estimated channel matrix is generated at δ = 0.9 ,   0.8 ,   0.7 .
Sensors 24 03713 g006
Figure 7. Sum spectral efficiency vs. number of SUs: Comparison of the proposed method with other hybrid precoding techniques. The system parameters are set as N t = N r = 256 , N d k = 16 , N s = 2 , and N s u b = 64 . Impact on the rate of change of spectral efficiency as a function of the number of Sus [51,52].
Figure 7. Sum spectral efficiency vs. number of SUs: Comparison of the proposed method with other hybrid precoding techniques. The system parameters are set as N t = N r = 256 , N d k = 16 , N s = 2 , and N s u b = 64 . Impact on the rate of change of spectral efficiency as a function of the number of Sus [51,52].
Sensors 24 03713 g007
Figure 8. Sum spectral efficiency vs. SNR when the number of antennas at the source, relay node, and SUs is changed simultaneously, such as N t = N r = 64 ,   256 , N d k = 16 ,   64 . The constant parameters are set as K = 4 , N s = 2 , and N s u b = 64 . Comparison of the proposed algorithm under perfect CSI and imperfect CSI at δ = 0.9 ,   0.8 ,   0.7 with full-complexity digital precoding.
Figure 8. Sum spectral efficiency vs. SNR when the number of antennas at the source, relay node, and SUs is changed simultaneously, such as N t = N r = 64 ,   256 , N d k = 16 ,   64 . The constant parameters are set as K = 4 , N s = 2 , and N s u b = 64 . Comparison of the proposed algorithm under perfect CSI and imperfect CSI at δ = 0.9 ,   0.8 ,   0.7 with full-complexity digital precoding.
Sensors 24 03713 g008
Figure 9. Sum spectral efficiency vs. number of antennas at the source and relay node when SNR= −10, 15 [dB], N t = N r = 64 ,   144 ,   256 , N d k = 16 , K = 4 , N s = 4 , and N s u b = 64 . Comparison of the proposed technique with fully digital beamforming.
Figure 9. Sum spectral efficiency vs. number of antennas at the source and relay node when SNR= −10, 15 [dB], N t = N r = 64 ,   144 ,   256 , N d k = 16 , K = 4 , N s = 4 , and N s u b = 64 . Comparison of the proposed technique with fully digital beamforming.
Sensors 24 03713 g009
Figure 10. Sum spectral efficiency vs. number of antennas at the SUs when SNR = 15 [dB], N t = N r = 256 , N d k = { 4 ,   16 ,   36 ,   64 } , K = 4 , N s = 4 , and N s u b = 64 . Comparison of the proposed scheme under perfect CSI and imperfect CSI at δ = 0.9 ,   0.8 ,   0.7 with full-complexity precoding.
Figure 10. Sum spectral efficiency vs. number of antennas at the SUs when SNR = 15 [dB], N t = N r = 256 , N d k = { 4 ,   16 ,   36 ,   64 } , K = 4 , N s = 4 , and N s u b = 64 . Comparison of the proposed scheme under perfect CSI and imperfect CSI at δ = 0.9 ,   0.8 ,   0.7 with full-complexity precoding.
Sensors 24 03713 g010
Figure 11. Sum spectral efficiency vs. number of data streams at SNR = −10, 0, 15 [dB]: Comparison of the proposed method with unconstrained fully digital precoding. The system parameters are set as follows: N t = N r = 256 , N d k = 64 , K = 4 , and N s u b = 64 .
Figure 11. Sum spectral efficiency vs. number of data streams at SNR = −10, 0, 15 [dB]: Comparison of the proposed method with unconstrained fully digital precoding. The system parameters are set as follows: N t = N r = 256 , N d k = 64 , K = 4 , and N s u b = 64 .
Sensors 24 03713 g011
Figure 12. Sum spectral efficiency vs. SNR: Comparison of the proposed scheme with well-known hybrid processing techniques suggested in [51,52]. Numerical results are obtained by employing system parameters N t = N r = 144 , N d k = 36 , K = 3 , N s = 2 , and N s u b = 64 .
Figure 12. Sum spectral efficiency vs. SNR: Comparison of the proposed scheme with well-known hybrid processing techniques suggested in [51,52]. Numerical results are obtained by employing system parameters N t = N r = 144 , N d k = 36 , K = 3 , N s = 2 , and N s u b = 64 .
Sensors 24 03713 g012
Figure 13. Sum spectral efficiency vs. SNR: Comparison between the proposed algorithm and hybrid precoding designs given in [51,52]. Simulation results are obtained using system parameters N t = N r = 256 , N d k = 64 , K = 4 , N s = 4 , and N s u b = 64 .
Figure 13. Sum spectral efficiency vs. SNR: Comparison between the proposed algorithm and hybrid precoding designs given in [51,52]. Simulation results are obtained using system parameters N t = N r = 256 , N d k = 64 , K = 4 , N s = 4 , and N s u b = 64 .
Sensors 24 03713 g013
Figure 14. EE vs. number of RF chains at the relay node: Energy efficiency comparison of the proposed fully connected structure, mixed-structure, and full-complexity digital precoding. The system parameters are set as N t = N r = 100 , N d k = 25 , K = 4 , Ns = 1, S N R = 10   [ dB ] , and N s u b = 64 .
Figure 14. EE vs. number of RF chains at the relay node: Energy efficiency comparison of the proposed fully connected structure, mixed-structure, and full-complexity digital precoding. The system parameters are set as N t = N r = 100 , N d k = 25 , K = 4 , Ns = 1, S N R = 10   [ dB ] , and N s u b = 64 .
Sensors 24 03713 g014
Figure 15. EE vs. number of antennas at the relay station: Comparison among the proposed fully connected architecture, mixed-structure, and fully digital beamforming. The system parameters are set as follows: N t = N r = { 100 ,   144 ,   196 ,   256 } , N d k = 16 , K = 4 , N s = 1 , S N R = 10   [ dB ] , and N s u b = 64 .
Figure 15. EE vs. number of antennas at the relay station: Comparison among the proposed fully connected architecture, mixed-structure, and fully digital beamforming. The system parameters are set as follows: N t = N r = { 100 ,   144 ,   196 ,   256 } , N d k = 16 , K = 4 , N s = 1 , S N R = 10   [ dB ] , and N s u b = 64 .
Sensors 24 03713 g015
Figure 16. Sum spectral efficiency vs. EE with varying numbers of transmit antennas at the relay node N t = N r { 64 ,   100 ,   144 ,   196 ,   256 } when N d k = 16 , K = 4 , N s = 1 , S N R = 10   [ dB ] , and Nsub = 64.
Figure 16. Sum spectral efficiency vs. EE with varying numbers of transmit antennas at the relay node N t = N r { 64 ,   100 ,   144 ,   196 ,   256 } when N d k = 16 , K = 4 , N s = 1 , S N R = 10   [ dB ] , and Nsub = 64.
Sensors 24 03713 g016
Figure 17. Sum spectral efficiency vs. EE by changing the number of transmit antennas at the relay station N t = N r { 64 ,   144 ,   256 } when N d k = 16 , K = 8 , N s = 2 , S N R = 10   [ dB ] , and N s u b = 64 .
Figure 17. Sum spectral efficiency vs. EE by changing the number of transmit antennas at the relay station N t = N r { 64 ,   144 ,   256 } when N d k = 16 , K = 8 , N s = 2 , S N R = 10   [ dB ] , and N s u b = 64 .
Sensors 24 03713 g017
Figure 18. EE vs. SNR when N t = N r = 144 , N d k = 36 , K = 4 , N s = 2 , and N s u b = 64 .
Figure 18. EE vs. SNR when N t = N r = 144 , N d k = 36 , K = 4 , N s = 2 , and N s u b = 64 .
Sensors 24 03713 g018
Figure 19. Rate vs. SNR considering spatial wideband effect: N t = N r = 36 , N d k = 9 , K = 4 , N s = 3 , and N s u b = 64 .
Figure 19. Rate vs. SNR considering spatial wideband effect: N t = N r = 36 , N d k = 9 , K = 4 , N s = 3 , and N s u b = 64 .
Sensors 24 03713 g019
Figure 20. Rate vs. SNR considering spatial wideband effect: N t = N r = 64 , N d k = 16 , K = 4 , N s = 4 , and N s u b = 64 .
Figure 20. Rate vs. SNR considering spatial wideband effect: N t = N r = 64 , N d k = 16 , K = 4 , N s = 4 , and N s u b = 64 .
Sensors 24 03713 g020
Figure 21. Rate vs. SNR considering the impact of UCA: N t = N r = 64 , N d k = 16 , K = 4 , N s = 8 , and N s u b = 64 .
Figure 21. Rate vs. SNR considering the impact of UCA: N t = N r = 64 , N d k = 16 , K = 4 , N s = 8 , and N s u b = 64 .
Sensors 24 03713 g021
Figure 22. Rate vs. SNR considering the impact of UCA: N t = N r = 256 , N d k = 64 , K = 4 , N s = 16 , and N s u b = 64 .
Figure 22. Rate vs. SNR considering the impact of UCA: N t = N r = 256 , N d k = 64 , K = 4 , N s = 16 , and N s u b = 64 .
Sensors 24 03713 g022
Table 1. Contrasting the proposed scheme with the existing relay-based hybrid beamforming for mm-wave MU-MIMO networks.
Table 1. Contrasting the proposed scheme with the existing relay-based hybrid beamforming for mm-wave MU-MIMO networks.
[54][66][67][68][69][70]Proposed
Relay-assisted mm-wave MU-MIMO system
Frequency-selective channel
Multiple data streams per user
Minimum number of RF chains
Support of CR technology
Mixed hybrid structure
Numerical results with imperfect CSI
Table 2. Symbolic representation.
Table 2. Symbolic representation.
SymbolDefinition
N t / N r / N d k Number of antennas at the source/relay node/ k -th SU
N t R F / N r R F / N d k R F Number of RF chains at the source/relay node/ k -th SU
s k [ n ] / s [ n ] Number of data streams transmitted to the k -th SU/K SUs
x [ n ] Source-transmitted signal after hybrid precoding
V R F / V B B [ n ] Common analog RF beamformer/frequency-selective digital baseband precoder at the source
P s Maximum allowable power at the source
y r [ n ] Signal received at the relay node
d 1 [ n ] Interference experienced by the PU due to the source-transmitted signal
y r 1 [ n ] Baseband signal received at the output of relay hybrid combiner
F R F , 1 / F R F , 2 Common RF combiner/precoder at the relay station
F B B , 1 [ n ] / F B B , 2 [ n ] Frequency-dependent baseband combiner/precoder at the relay
F 1 [ n ] / F 2 [ n ] Relay hybrid combiner/precoder
F [ n ] / F B B [ n ] Relay hybrid filter/combined baseband processing component at the relay
y r 2 [ n ] Relay transmitted signal after hybrid beamforming
d 2 [ n ] Interference experienced by the PU due to the relay-transmitted signal
H [ n ] / H P U [ n ] / G d k [ n ] Channel matrix from the source to the relay in frequency-domain/source to the PU/relay to the k -th SU
n r [ n ] / z k [ n ] Noise vector at the relay/ k -th SU
y d k + [ n ] / y d k [ n ] Signal received at the k -th SU without hybrid processing/with hybrid processing
W R F , k / W B B , k [ n ] Frequency-independent RF combiner/frequency-dependent baseband combiner at the k -th SU
H e q [ n ] = F R F , 1 H H [ n ] V R F Baseband equivalent channel from the source to the relay
G e q , k [ n ] = W R F , k H G d k [ n ] F R F , 2 Baseband equivalent channel from the relay to the k -th SU
C k [ n ] / R a v g Capacity of the k-th SU at the n-th sub-carrier/average over N s u b carriers
F 2 k [ n ] = F R F , 2 F B B , 2 k [ n ] Relay hybrid precoder corresponding to the k -th SU
Table 3. Complexity of the proposed design and the other hybrid beamforming algorithms.
Table 3. Complexity of the proposed design and the other hybrid beamforming algorithms.
AlgorithmsComplexity
Proposed, [70] N t 2 N r + N t 2 N r N s u b + N d k 2 N r + N d k 2 N r N s u b + ( N r R F ) 3 + ( N d k R F ) 3
Hybrid Precoding [43] N s 4 + N s 3 ( N t + N r ) + ( N t N r N s ) 2 + N s u b ( N s 3 + N t 2 N r + N r 2 N s )
Hybrid Beamforming [47] N s u b ( N s 3 + N t 2 N r + N t ( N s 2 + N r 2 ) ) + N s ( N t 2 + N r 2 )
Hybrid Transceiver [41] O ( K N s u b N r N t 2 + K N s u b N s N t 2 )
Algorithm [50] O ( K N s u b N r N t 2 + K N s u b N s N t N t R F )
Table 4. System parameters to generate numerical results.
Table 4. System parameters to generate numerical results.
ParametersValues
Number of data streams N s = 1 ~ 16
Number of RF chains N t R F = N r R F = K N s , N d k R F = N s
Number of antennas N t = N r = 49 ~ 256 , N d k = 4 ~ 64
Number of data transmission paths N c l = 5 ,   N r a y = 10
Number of frequency sub-carriers N s u b = 64
Carrier frequency f c = 28   GHz
Number of secondary users K = 2 ~ 16
Table 5. Energy consumption of different precoding techniques.
Table 5. Energy consumption of different precoding techniques.
ArchitectureEnergy Consumption at the Relay Node
P F D 2 P B B + N r ( 2 P R F + P P A + P L N A + P A D C + P D A C )
P F C 2 P B B + 2 N r R F P R F + N r ( P P A + P L N A ) + 2 N r N r R F P P S + N r R F ( P A D C + P D A C )
P P C 2 P B B + 2 N r R F P R F + N r ( P P A + P L N A ) + 2 N r P P S + N r R F ( P A D C + P D A C )
Table 6. Simulation parameters for spatial wideband effect.
Table 6. Simulation parameters for spatial wideband effect.
ParametersValues
Number of data streams N s = 3 ,   4
Number of SUs K = 4
Number of sub-carriers N s u b = 64
Number of antennas at the source, relay node, and each SU N t = N r = 36 ,   64 ,   N d k = 9 ,   16
Number of clusters; number of rays/cluster N c l = 4 ,   N r a y = 5
Maximum time delay τ m a x = 20   ns
Central frequency f c = 30   GHz
Bandwidth f s = 3   GHz
Table 7. Simulation parameters for the impact of UCA.
Table 7. Simulation parameters for the impact of UCA.
ParametersValues
Number of data streams N s = 8 ,   16
Number of SUs K = 4
Number of sub-carriers N s u b = 64
Number of antennas at the source, relay node, and each SU N t = N r = 64 ,   256 , N d k = 16 ,   64
Number of propagation paths L = 20
Maximum time delay τ m a x = 25   ns
Central frequency f c = 30   GHz
Bandwidth f s = 3   GHz
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Mustafa, H.M.T.; Baik, J.-I.; Song, H.-K.; Adnan, M.; Awan, W.M. Spectral Efficiency Maximization for Mixed-Structure Cognitive Radio Hybrid Wideband Millimeter-Wave Transceivers in Relay-Assisted Multi-User Multiple-Input Multiple-Output Systems. Sensors 2024, 24, 3713. https://doi.org/10.3390/s24123713

AMA Style

Mustafa HMT, Baik J-I, Song H-K, Adnan M, Awan WM. Spectral Efficiency Maximization for Mixed-Structure Cognitive Radio Hybrid Wideband Millimeter-Wave Transceivers in Relay-Assisted Multi-User Multiple-Input Multiple-Output Systems. Sensors. 2024; 24(12):3713. https://doi.org/10.3390/s24123713

Chicago/Turabian Style

Mustafa, Hafiz Muhammad Tahir, Jung-In Baik, Hyoung-Kyu Song, Muhammad Adnan, and Waqar Majeed Awan. 2024. "Spectral Efficiency Maximization for Mixed-Structure Cognitive Radio Hybrid Wideband Millimeter-Wave Transceivers in Relay-Assisted Multi-User Multiple-Input Multiple-Output Systems" Sensors 24, no. 12: 3713. https://doi.org/10.3390/s24123713

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop