Next Article in Journal
Design and Test of a Spoke-like Piezoelectric Energy Harvester
Previous Article in Journal
RGB-D Visual SLAM Based on Yolov4-Tiny in Indoor Dynamic Environment
Previous Article in Special Issue
3D NoC Low-Power Mapping Optimization Based on Improved Genetic Algorithm
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Novel Bi-UWB on-Chip Antenna for Wireless NoC

1
Telecommunication Department, Higher Institute of Technological Studies in Communications of Tunis (Iset’Com), El Ghazala Technopark, Ariana 2088, Tunisia
2
Electronic Systems and Communications Networks Laboratory (SERCOM), Polytechnic School of Tunisia, Carthage University, Tunis 1080, Tunisia
*
Author to whom correspondence should be addressed.
Micromachines 2022, 13(2), 231; https://doi.org/10.3390/mi13020231
Submission received: 8 December 2021 / Revised: 17 January 2022 / Accepted: 20 January 2022 / Published: 30 January 2022
(This article belongs to the Special Issue Emerging Network-on-Chips (NoC) Architectures)

Abstract

:
Communication between on-chip cores is a challenging issue for high-performance network-on-chip (NoC) design. Wireless NoC (WiNoC) represents an alternative design for planar wired interconnects, aiming to reduce latency and improve bandwidth. In this paper, a novel on-chip fractal antenna is designed and characterized. In order to disseminate interference affecting NoC performance in order to enhance on-chip quality of service (QoS), a set of exclusive sub-channels are assigned to each antenna. The proposed antenna has two wide bands (bi-WB)— B 1 and B 2 , of (63–78) GHz and (101–157) GHz, respectively. The multi-band antenna allows different channel allocations for on-chip core communications. This WiNoC design exhibits improved performance, due to its enhanced antenna bandwidth and the benefit provided by the developed algorithm that can scan and compare to assign the best (upload or download) sub-channels to each antenna.

Graphical Abstract

1. Introduction

Emerging applications such as bio-telemetry, GPS, and RFIC for wrist-wearable communication applications, wireless clock distribution, IoT/biomedical, wireless power transfer, wirelessly powered dielectric sensors, sensor networks and wireless tagging, wireless network-on-chip (WNoC) systems and chip-to-chip wireless communication systems, have motivated innovations in wireless transceiver systems, components, architectures, and technologies [1]. In these applications, wireless communication with a wide bandwidth is essential in order to achieve a higher data rate. System-on-chip (SoC) systems based on a multi-processor (MPSoC) architecture with a wide variety of heterogeneous intellectual property (IP) blocks ensure that on-chip communication plays a key role in determining the reliability, performance, area, and power consumption of these devices. Developers of network-on-chip (NoC) architectures have suggested shifting the bus interconnections [2] to wireless communications, since MPSoC systems require such changes. Wireless NoC present the potential for scalable interconnect architectures with a reduced latency for next-generation NoCs; therefore, the wireless-NoC topology can be totally different from that of a traditional NoCs. Recent research has implemented wireless-NoC (WiNoC)-based antennas [3,4] to provide a scalable and flexible on-chip communication infrastructure. On-chip antennas have several advantages, which lead to low signal losses and higher integration levels, as well as improved latency and broadcasting capabilities. In the literature, on-chip antennas operate on a single wireless channel based on the resonant frequency.
The advantage of ultra-wide-band (UWB) antennas is their quasi-omnidirectional characteristics and their good radiation [5]. Many mictrostrip-fed antenna designs have revealed acceptable behavior when targeting the use of the free licence band from 3.1 to 10.6 GHz, especially when they have circular and elliptical coplanar waveguide (CPW)-fed slots [6]. Reference [7] showed how frequency-selective surface (FSS) techniques enhance antenna gain for UWB antennas. This technique was deployed to boost the performance of UWB antennas and to increase the gain by using a circular polarized (CP) antenna. Reference [8] studied and compared a V-shaped UWB monopole antenna and dual-band UWB notch antenna to the previous literature. They observed less group delay, a linear phase, stable radiation patterns, and dual notch bands, making their system feasible for use in UWB applications.
We were inspired by the existing literature to design a novel Bi-WB on-chip antenna for wireless NoC applications. As the number of on-chip intellectual properties (IPs) continues to increase, wireless communication technology channels are becoming limited [4], particularly for simultaneous wireless communication. A recent work proposed a multi-channel wireless NoC based on traditional NoC topologies [9]. Despite challenges owing to the on-chip antenna design, this paper suggests a novel on-chip antenna with wireless bi-wide-band frequencies. Multiple wireless channels are created by splitting the two proposed antenna bands into channels. Each channel is assigned exclusively to the communication between each pair of antennas.
This work is structured as follows. Section 2 (Wireless-Based NoC Topology) presents the wireless topology. In Section 3 (Wireless Channel), the channel characteristics and indoor model are provided for no-loss environment conditions. A novel on-chip antenna (NoChA) is presented, characterized and a description of the design steps is provided in Section 4 (On-Chip Antenna). Then, a brief comparison with some reference antennas is presented. Section 5 (Assignment of Frequencies) describes the frequency channel assignment process. Section 6 highlights the principal conclusions and perspectives. Eventually, the study of ( 4 × 4 ) antenna-dispositions is presented in Appendix A with three subsections detailing how we chose the best arrangement among all the possible cases investigated.

2. Wireless-Based NoC Topology

On-chip network topology is a key factor that impacts and determines the quality of service (QoS) of the designed network, as the network’s ability to efficiently communicate depends on its topology [10]. The on-chip hops count for a packet to traverse an NoC between the source and destination, and IP cores impact the topology design, which affects network energy consumption and latency [11,12,13]. NoC design needs to take into account some metrics, such as latency and power consumption, to provide high performance.

2.1. Hybrid Topology

A hybrid NoC consists of a two-level network. One level is a wired links network, which is intended for cases in which there is a reliably short-distance between the neighboring on-chip nodes, whereas the other level is a wireless link for distant communications, which can reduce latency and power efficiency, owing to single-hop communication between far IP cores, and which can enhance wireless bandwidth, limited by physical constraints for wired links. Figure 1 illustrates a generic example of an 8 × 8 2D mesh WiNoC topology based on 4 × 4 wireless hubs with wide-band antennas [14]. Each wireless hub is working as a router that allows single-hop communication between far-IP cores.

2.2. Pure Wireless Topology

Despite the domination of hybrid topologies in actual WiNoC design, the design of pure WiNoCs seems to be an open issue in the proposal of some novel on-chip antennas. This new on-chip interconnection network, in which all the wired links should be replaced by wireless links (as depicted in Figure 2), provides high-bandwidth benefits, a low transmission latency, less power dissipations, and flexible topology configurations [15].
In both hybrid and pure wireless systems, the two crucial elements of the on-chip wireless hub are the antenna and the transceiver; their features are discussed in Section 4. However, the advantage of wireless links is their low latency over long distances and their disadvantage is the area and power overhead caused by the wireless transceiver. Thus, the prevailing design uses a hybrid wireless network, as mentioned in this paper. However, this article focuses on a purely wireless 4 × 4 network, in which the wired link is completely abandoned. Short-range wireless links have no advantage over wired links, but instead have a huge disadvantage in terms of reliability and the power consumption overhead. In this paper, hundreds of wireless links in different frequency bands are organized through spectral channels, but the overheads of wireless links are completely the work of many other researchers, who are working to overcome such critical issues [16,17,18,19]. The feasibility of this antenna design may be deployed in the context of a hybrid wireless network with an attempt to reach a purely wireless topology.

3. Wireless Channel

On-chip wireless channel characteristics largely govern the performance and bandwidth efficiency of wireless communication systems. Since the channel condition does not change due to the immobility of on-chip cores, the channel tends to be static. Furthermore, since on-chip communication occurs in a completely enclosed environment, the power azimuth spectrum will be uniform.

Communication Model

High performance is becoming a complicated goal across systems on chip (SoCs) as the number of data channels scales up across all on-chip communication NoCs; thus, channel performance becomes more difficult to predict. In this work, we consider the indoor two-ray channel model. The two-ray model has been used to explain the observed path loss and provides a simple way to predict the received power [20,21]. It consists of two rays with the same power. The first ray is for a direct path with zero delay and a null τ = 0, whereas the second ray is for a longer path, considered after reflection, with a delay τ > 0, which is the parameter that determines the model’s characteristics as given in [22,23,24]. The two-ray model is a suitable choice for millimeter waves (mmWave), and especially for channels with reflections [25]. For the on-chip application in which the channel distance is about a few millimeters, the power magnitude of the second path could be equal to that of the first power path, which makes this model acceptable and useful in practice. To predict the received signal in an environment considered without any obstacle between the transmitter and receiver, and a system hardware assumed without loss, the free-space propagation model presented by Friis [26] is used to express the received power P r at distance d, as shown in Equation (1).
P r ( d ) = P t G r G t λ 2 ( 4 π d ) 2
where P t represents the transmitted power (watts); G t and G r are the transmitted gain and the received gain, respectively; P r is received power at distance d; and λ is the wavelength (m).

4. On-Chip Antenna

4.1. Antenna Structure

The introduction of a wireless interconnect introduces the opportunity for a high data rate, low power consumption, and low-cost implementation for on-chip millimeter-range communication. The on-chip antenna must meet the following conditions. It must be sufficiently small, highly efficient [27,28], and show the best power gain at the minimal area overhead. The on-chip fractal antenna is designed to provide multiband wireless communication. Each band is subdivided into channels allocated to on-chip IP core communications [29]. This allows the most appropriate assignment of spectral channels to each on-chip IP core, hence making the antenna a suitable candidate for WiNoC applications. Multi-channel techniques are easily adopted in WiNoC designs for interference dissemination and simultaneous communication, which improve the network throughput and latency. Recently, more on-chip antennas operating in the millimeter wave range have been proposed to enable wireless interconnection [30,31,32]. The antennas’ sizes should be approximately equal to the wavelength, on the order of a few millimeters.
The antenna size is reduced accordingly with the transmission frequency increase. Since the on-chip IP-cores are about 5 to 25 mm2, the designed antenna should occupy less than 1 mm2. Figure 3a represents an antenna with the different deployed layers where the conducting element is covered by air. Figure 3c provides the proposed antenna dimensions having a size of about [0.85 mm; 0.94 mm]. In Section 4.2, we explain how we have chosen these sizes. The fractal antenna technology [11] consists of a copper layer printed on a multi-layer substrate that includes a dielectric layer with a relative dielectric permittivity ϵ r = 3.5 , a buried oxide layer with ϵ r = 4 , a high-resistivity (HR) layer with ϵ r = 11.7 , as shown in Figure 3b. The deployment of such a HR layer is well justified by its lower dielectric losses and its high capacity for antenna miniaturization.
Moreover, antenna efficiency η a depends upon Equation (2) where R r i and R l o s s e s indicate the resistance of radiation and losses, respectively; such losses are due to R d i e l e c t r i c , R O h m i c , and R g r o u n d . We mention here the dielectric resistance, which helps designers to choose their dielectrics carefully with the minimum of losses; especially, tg( δ e ), the electric loss tangent, and tg( δ m ), the magnetic loss tangent, expressed in Equation (3) where complex permittivity and permeability are expressed as in Equation (4).
η a = R r i R r i + R l o s s e s , R l o s s e s = R d i e l e c t r i c + R O h m i c + R g r o u n d .
The tangent of electric and magnetic losses are:
t g ( δ e ) = ϵ ϵ , t g ( δ m ) = μ μ ,
as:
ϵ = ϵ i × ϵ , μ = μ i × μ .
Furthermore, in [33] the author concludes his HR dielectric deployment justification on page 231 to page 238, and describes many applications deploying HR layers, as explained, for example, on page 235. The total chip height is approximately 359.15 µm. The antenna provides circular polarizations based upon dual port excitation. U-slots are adjusted to provide multiband frequency. Nowadays, silicon (Si especially silicon-germanium (SiGe) integrated in BiPolar CMOS (SiGe BiCMOS)) based technologies have become an attractive choice for the on-chip antennas design due to its reduced cost, small chip-size, low power and high integration level. The low resistivity and high permittivity ( ϵ r = 11.7 ) values of Si-based technologies are unable to provide on-chip antennas with good performance. Another technology, such as silicon on insulator (SOI), has been proposed for on-chip antenna design due to its high resistivity substrate, in order to improve the device’s performance.

4.2. Antenna Design Steps

We designed our proposed antenna in four steps, as illustrated in Figure 4, Figure 5, Figure 6 and Figure 7. Each step is indicated via its S 11 value.The first step is shown in Figure 4. We intend the proposed antenna to operate in the range of (50–200) GHz; therefore, we began simulating a rectangular-patch antenna with h as the height and b as the width. These dimensions are approximated as follows (Figure 4a):
λ 4 h b λ 4 = c 4 f = 3 × 10 8 4 [ 50 , 200 ] × 10 9 = [ 375 , 1500 ] μ m ( h , b ) [ 480 , 430 ] μ m
With the same dimension ( h , b ) , Figure 4b represents a triangular-patch antenna that would resonate into unstable wide-bands. In Figure 5, (step 2) we added a part of a disk with a radius R = 270 µm and a cord b. This renders the wide aspect of the antenna. In Figure 5b, we introduced triangular Sierpinski hollows in its second iteration. This produces multiple contiguous wider sub-bands. Figure 6 (step 3) explains how we designed antenna matching. Figure 6a represents the two forms of feeding used: directly and by coupling a U feeder enclosed in a rectangle ( w 0 = 110 , L 0 = 510 ) µm. In Figure 6b, we optimized our antenna matching by adding two rectangular patches ( w 1 = 348 , L 1 = 438 ) µm. This filters some frequencies and limits power losses.
Figure 7 (step 4) demonstrates how we have limited more power losses by adding two other rectangular patches with different dimensions ( w 2 = 133 , L 2 = 283 µm (Figure 7a) and w 2 = 47 , L 2 = 340 µm (Figure 7b)).
All these steps helped us to obtain an ameliorated version of our proposed antenna, with the following dimensions: b = 426 , h = 473 , R = 275 µm; w 0 = 118 , L 0 = 512 µm; w 1 = 350 , L 1 = 442 µm; and w 2 = 113 , L 2 = 346 µm. The Sierpinski hollows are formed of four triangles, as shown in Figure 5b. The biggest one has a height and base of 188 and 233 µm, respectively; the smallest one has a height and base of 50 and 70 µm; and the two others have heights and bases of 95 and 125 µm.

4.3. Antenna Characterization

Figure 8 shows simulated S 11 parameters of the proposed N o C h A fractal antenna. According to the −10 dB threshold (1/10 losses allowed), we distinguish two frequency bands as shown in Table 1 B 1 (m1, m3) and B 2 (m4, m8). However, when losses are required to be 1/100, the threshold must be less to −20 dB. Therefore, four bands are provided by our proposed antenna, centered around m2, m5, m6, and m7. The transmission coefficient S i j will be considered in antennae networks treated via IP coupling in Section 5. Table 1 gives the S 11 values for eight critical frequencies from Figure 8.
Herein we provide the fractional frequency band ratio F B R , which is the frequency band width F B W , expressed as a percentage. In our bi-band antenna, for each band, we associate the F H (highest frequency), F L (lowest frequency), F c (center frequency), and F B R as follows:
F B R = ( F H F L ) F c × 100 ( % ) = 2 ( F H F L ) ( F H + F L ) × 100 ( % ) = F B R 1 = 78.32 63.26 70.79 × 100 = 21.27 % F B R 2 = 157.0 101.2 129.1 × 100 = 43.22 % .
One can note that the higher the percentage, the wider the bandwidth. Wide-band antennas typically have an F B R of around 20 % , whereas those with an F B R around 45 % are considered UWB antennas.
The efficiency is given by η = G D . Table 2 shows the antenna parameters depending on central frequencies, where the proposed antenna directivity is considerable but efficiency remains only acceptable and may be improved. G is the antenna gain given by Equation (5), and D is the directivity. This allows for deployment of the antenna even in a laminated on-chip structure (multi-layered).
Figure 9 indicates the gain, directivity, efficiency, and radiated power. The curve (efficiency versus frequency) shows that the best efficiency is achieved at 95 GHz. The directivity is always in the range of 4–6 dBi for all the frequencies, except between 120 GHz and 130 GHz. However, radiated power (Watts) is sufficiently high, except between 80 GHz and 94 GHz, where gain and efficiency have the lowest values. Thus, gain is directly affected, and the best gain value is achieved when the efficiency has the highest value. Radiation patterns, as illustrated in Figure 10, prove how omni-directional the radiations are in several bands. In Figure 10b, we note that the radiation pattern at 123 GHz is better than that in Figure 10a at 77 GHz. We added the current density in two cases of f = 77 GHz and f = 123 GHz. We want to prove that the proposed antenna NoChA is radiating sufficiently to reach its neighbors, as we need it to operate in the NoC 4 × 4 . Figure 11 and Figure 12 show the parameters of the proposed antenna in 77 GHz and 123 GHz, respectively, chosen from the bi-bands B 1 and B 2 .
Table 3 provides a performance comparison of our work vs. those in [34,35,36,37,38,39]. Antenna types are mentioned in the last column, and the sizes are presented in the second column, showing that our antenna is not greater than 1 mm2, and our bi-wide-band antenna operates on two wide bands, whereas the other antennas use only one band. The best frequencies (according to S 11 ) are presented in the fifth column. The bandwidth of each case is shown in the third column. We can consider our design satisfactory, due to its gain (shown in the fourth column) and its two UWB bands.

5. Assignment of Frequencies

In this section, we identify the best antenna layout, providing the best gains G i j . We consider a wireless based-NoC topology of 4 × 4 on-chip cores, as depicted in Figure 13. To achieve this optimal layout of the antennas, an algorithm has been proposed. The algorithm scans all possible layouts randomly by calculating the parameters S. The best parameters indicate the best layout.
After calculating the S i i and the transmission coefficient S i j of on-chip antennas, the assignment of channel frequencies would respect the following constraints:
  • Rule 1: S i i (dB) ≤ threshold, for example, 10 dB, as we do not tolerate the tenth losing power. (giving B i k and C h i k p ) and k represents the indexes of the appropriate bands. Frequency bands B i k are deduced from Figure 8 as follows: B i 1 (m1, m3) and B i 2 (m4, m8). Markers’ values are indicated in Table 1.
  • Rule 2: S i j (dB) ≥ ( 2 / 3 of max( S i j ) or more than −20 dB (giving B t i j , k and C h t i j , k , p ).
  • Rule 3: One or more bands B i k are allocated to the I P A i , where Rule 1 is respected.
  • Rule 4: Each Bik is subdivided into one or more channels Chikp, where p represents the indexes of the appropriate channels, referring to Bik.
where i is the antenna index from 1 to 16, k is the frequency band index (1,2), and p is the channel index. The frequency assignment process, respecting the above rules, should eliminate frequency interferences. The transmit gain G i j from antennas A j to A i is given by Equation (5):
G i j = | S i j | 2 / ( ( 1 | S i i | 2 ) ( 1 | S j j | 2 ) )
The studied disposition is shown in Figure 13 as 4 × 4 IP-antennas ( I P A ) in a square grid so 16 I P A s are enumerated from 1 to 16. Each I P A j can transmit to I P A i on exclusive channels, chosen as having the optimum (available and highest) transmission gain. In the case that I P A j would transmit data to I P A i , noted by A i j = ( I P A i , I P A j ) , we have to respect the above rules (Rule 1 to Rule 4) to deduce the right frequency set band B d i j (channels C h i j ) corresponding to the maximization of G i j . Thus, we obtain a bijection between B d i j and A i j . Figure 14a,b illustrates the case of i = 1 and j = 6 , and Figure 14a depicts A A 6 A A 1 (acceptance aptitudes of I P A 6 I P A 1 , calculated by means of Equation (6). Figure 14b shows the gain G 61 , calculated using Equation (5). We have represented the gain G j i from I P A i to I P A j . The acceptance aptitude of I P A i is the inverse of the linear S i i given by Equation (6):
A A i = ( m a g ( S i i ) ) 1
In fact, such intersections should be well managed in roder to minimize interferences or coupling phenomena, which degrade on-chip antenna communications. An algorithm was developed to filter bijective spectral bands between I P A i and its assigned spectral channels set. Thus, one chosen frequency cannot be used for two different I P A s distinctly in the case of transmission and receiving signals. Assigning an exclusive set of channel frequencies for each A j i is actually the intersection of the highest A A i , A A j , and G j i values, where we omit the used channel frequencies of other A j i . In the 4 × 4   I P A i system, we distinguish 240 = 16 × 15 possible cases of I P A i , which involve at least 240 channel frequencies that we have to assign. On-chip IPs communicate simultaneously with each other, thanks to the unique channel assigned per wireless link. In our case, we have two bandwidths, respectively, of 15.06 GHz ( 78.32 63.26 ) and 55.8 GHz ( 157.0 101.2 ) around 70.86 GHz ( 15.06 + 55.8 ) that can be deployed to 240 A j i , having six sub-channels of 49.2 MHz each (about 50 MHz). To achieve this aim, we subdivide 70,860/(240 × 6) about 70,860/1440 and obtain 49.2 MHz for each sub-channel. To avoid the interference issues, we do not use the tenth of 49.2, around 4.92 MHz, and we assign only six efficient sub-channels, having 44.28 MHz per couple A j i , of which a tenth is about 4.92 MHz, used as a gap between two consecutive sub-channels, as depicted in Figure 15, where dark columns indicate frequencies intervals/gaps, which are not used. We identify each sub-channel by the mother band ( B 1 or B 2 ), a number between 1 and 1440, an interval of operational frequencies having [ f 2 , f 4 ] limits centered in f 3 and with two gaps (frequencies that are not used) [ f 1 , f 2 [ ] f 4 , f 5 ] as seen clearly in Table 4, then detailed in Table 5 for the case of A 61 sub-channels. The relation between frequency and sub-channel number ( N S C h i j ) is presented in Equation (7) as follows:
N S C h i j = r o u n d f l o o r ( L S C h N + ( F r i j B S p ) / 0.0492 )
where L S C h N is the last sub-channel number of the preceding band, F r i j is the depicted frequency from the gain G i j sorted in descending order, and B S p is the starting frequency of the current band number p. In our case, the antenna provides two bands, so that p is equal to 1 or 2. In this example, F r 61 = 153 GHz, L S C h N = 306 , p = 2 , and B S 2 = 101.2 GHz; this gives N S C h 61 = 1360 .
The filling of Table 4 and the deduction of Table 5 is accomplished according to an efficient assignment of frequencies, according to Algorithm 1.
Algorithm 1 Frequencies’ assignment algorithm per Aij
(Input parmeters: n, m) (here n = 16 and m = 6)
(Output: Alloc([1..(n ∗ (n − 1) ∗ m)]) (here Alloc([1..1440])
  • Initialization (G, Fr, Alloc, qij)
  • REPEAT
    (a)
    FOR each Aij
    • qij ← 0
    • REPEAT
      • qijqij + 1
      • NSChroundfloor (LSChN + (Fr(i, j, qij) − BSp)/0.0492)
    • UNTIL ( N o t ( A l l o c [ N S C h ] ))
    • A l l o c [ N S C h ] . V T r u e
    • A l l o c [ N S C h ] . T x j
    • A l l o c [ N S C h ] . R x i
    • A l l o c [ N S C h ] . N U
    (b)
    ENDFOR
    (c)
    U U + 1
  • UNTIL ( U ( m + 1 ) )
Where U is an integer counter of the sub-channel assignment number in {1, 2, 3, 4, 5, 6}; G is a set of G i j for each A i j deduced from Equation (5); F r is a set F r ( i , j , q i j ) sorted in descending order for each ( i , j ) according to G i j ; and Alloc is an array of 1440 (sub-channels) structures composed of (V: B o o l e a n ; T x , R x , N: i n t e g e r ) , where V indicates if the sub-channel is allocated, T x is the number of the transmitting antenna ( I P A j ), and R x is the number of the receiving antenna ( I P A i ), and N is order number of assigned sub-channels. The algorithm is optimized by considering Equation (5). According to this equation, the received power decreases considerably when the inter-antenna distance increases. The set of 240 A i j should be sorted in descending order by the distance d i j between I P A i and I P A j , as presented in Figure 16. Actually, the number m of assigned sub-channels per A i j (here m = 6 ) is an important parameter. When it is changed, we modify the sub-channel width S u m B w / ( ( n ( n 1 ) m ) ) , where S u m B w = 70.8 GHz and n is the number of antennas (16 = 4 × 4). However, whenever we execute Algorithm 1 we will obtain a new frequency plan per A i j . A sample of an assignment plan resulting from the proposed Algorithm 1 is shown in Figure 17, where each of the 240 A i j antenna couples is assigned six exclusive sub-channels. This can help to hop frequencies and obtain easier F T D M access.

6. Conclusions

In this work, we have presented the design of an innovative millimeter-wave fractal antenna to enable on-chip pure wireless communications. The antenna operates efficiently in two wide bands, B 1 ( 63 78 GHz) and B 2 ( 101 157 GHz). The proposed antenna system-( 4 × 4 ) showed satisfactory communication performance in terms of communication between many sub-channels, size, gain, polarization, and bandwidth. The antenna is practically qualified to be integrated on-chip.
We subdivided B 1 and B 2 into 1440 sub-channels, with 49.2 MHz as the width, and only 44.28 MHz were exploited and the 4.92 MHz band remained as a gap inter-sub-channel to eliminate the risk of interference between two consecutive sub-channels. We assigned exclusively to each antenna couple A i j = ( A j , A i ) m (six) sub-channels, sorted by their gain G i j . Thus, the first sub-channel corresponds to the best quality and the sixth to the lowest quality. Hence, the wireless NoC provides requirements such as reliability, guaranteed bandwidth, and latency.
The proposed wireless NoC can efficiently improve performance. Moreover, the WiNoC should overcome limitations due to the increased likelihood of timing and data-errors, crosstalk, and environmental factors such as electromagnetic interference, which represent issues to confront and challenges to overcome in future works. As a first perspective, frequency hopping via time slot multiple access ( F D M A / T D M A / F H T D M A ) may be an alternative solution, meaning that one chosen frequency could be used by two different I P A s at different time slots. The second perspective is to assign not only one antenna but two radiators per I P to ensure full-duplex communication, with the first antenna used transmission and the second one used for receiving.

Author Contributions

Conceptualization, H.I.G.; methodology, H.I.G. and M.B.; software, H.I.G.; validation, H.I.G. and M.B.; formal analysis, H.I.G. and M.B; investigation, H.I.G.; resources, H.I.G. and M.B.; data curation, H.I.G. and M.B.; writing—original draft preparation, M.B.; writing—review and editing, H.I.G.; visualization, H.I.G. and M.B.; supervision, H.I.G. and M.B.; project administration, H.I.G. and M.B. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Conflicts of Interest

The authors declare no conflict of interest.

Abbreviations

The following abbreviations are used in this manuscript:
bi-WBBi-Wide-Band
FBRfractional frequency band ratio
FBWfrequency band width (in percentage)
FDMAFrequency-Division Multiple Access
FH-TDMAFrequency Hopping TDMA
FSFrequency-Selective Surface
IPIntellectual Propriety
MPSoCMulti-Processor SoC
NoCNetwork on Chip
QosQuality of service
RFICRadio-Frequency Integrated Circuit
SoCSystem on Chip
SOISilicon as innsulator
TDMATime-Division Multiple Access
UWBUltra-Wide-Band
WNoCWireless NoC

Appendix A. Antenna Disposition Study

After the dual disposition study, we simulated different dual dispositions of our antenna NoChA, as shown in Figure A1; the corresponding results are presented in Figure A2, Figure A3, Figure A4. These figures represent ( S i i , S i j ) and gains ( G 12 , G 21 ).
Figure A1. Five possible dual bi- N o c h A dispositions.
Figure A1. Five possible dual bi- N o c h A dispositions.
Micromachines 13 00231 g0a1
Figure A2. Head -to-head and tail-to-tail cases.
Figure A2. Head -to-head and tail-to-tail cases.
Micromachines 13 00231 g0a2
Figure A3. Lateral -to-lateral and lateral-to-tail cases.
Figure A3. Lateral -to-lateral and lateral-to-tail cases.
Micromachines 13 00231 g0a3
Figure A4. Head-to-lateral S i i and S i j (N°1 antenna is the left one).
Figure A4. Head-to-lateral S i i and S i j (N°1 antenna is the left one).
Micromachines 13 00231 g0a4

Appendix A.1. Antenna Disposition Weights

We note that if two I P antennas ( I P A 1 , I P A 2 ) communicate, their dispositions affects the transmission quality. Therefore, we have ensured that the weights correspond to each transmitting disposition, as shown in Figure A1, and then in Table A1. The best gain was observed in the case of a head-to-head system (Figure A2a), then in the head-to-lateral case (Figure A4), then in the case of lateral-to-lateral disposition (Figure A3a). The worst transmission cases were the tail-to-tail case (Figure A2b), then the lateral-to-tail case (Figure A3b), and the least worst case was the head-to-tail arrangement. The tail of the I P A is the half-antenna region where feeding, ports, pins, and weldings can appear, as in the bottom of Figure 3c.
Table A1. T x / R x Dispositions and their quality weights, example 1 (head-to-tail), coded 01, has a weight of 30 (second line); example 2 ( L e f t L a t e r a l to head), coded 30, has a weight of 40 (13th line).
Table A1. T x / R x Dispositions and their quality weights, example 1 (head-to-tail), coded 01, has a weight of 30 (second line); example 2 ( L e f t L a t e r a l to head), coded 30, has a weight of 40 (13th line).
[ 0 : H , 1 : T , 2 : R , 3 : L ]Quality Weight
00100
0130
0260
0360
102
111
123
133
2040
2110
2230
2330
3040
3110
3230
3330

Appendix A.2. Antenna Disposition Cases

The ( n m ) disposition of antennas is always presented in a grid array of n lines and m columns, but questions remain regarding how to sow these I P A s on the grid and which disposition is the best in terms of guaranteeing the optimum gain transmission of each antenna couple A i j = ( A i , A j ). A random searching algorithm was used to answer these questions. Thus, regardless of the value of n and m (≥2), we can obtain the best disposition, while maximizing a function weight for each situation. For example, if n equals m, which is 4 (the case presented in this paper), the best arrangement is that shown in Figure 13.
For this purpose, we coded the different cases [0, 1, 2, 3] corresponding to S—south, N—north, E—east, and W—west, as shown in Figure A5. Then, we listed all the possible arrangements of dual cases. I P A T x can be placed on or under the I P A R x , and it can also be placed beside the I P A R x from the right or from the left. All the cases (16 × 4 = 64) are illustrated in Table A2. The first column lists all the possible dispositions/codes. The second and the third column are devoted to horizontal dispositions. The forth and the fifth columns concern vertical dispositions. Hence, each disposition is described as being horizontal or vertical. For horizontal cases, transmission can occur from left to right → (coded 0) or from right to left ← (coded 1). For vertical cases, transmission can occur from down to up ↑ (coded 2) or from up to down ↓ (coded 3). In Figure A6, many cases are studied in both a horizontal and a vertical way.
Figure A5. 4 different cases coded from 0 to 3, S-south, N-north, E-east and W-west.
Figure A5. 4 different cases coded from 0 to 3, S-south, N-north, E-east and W-west.
Micromachines 13 00231 g0a5
Table A2. All possible disposition cases.
Table A2. All possible disposition cases.
HorizontalHorizontalVerticalVertical
[ 0 : SN , 1 : NS , 2 : EW , 3 : WE ]0:1:2:3:
0032230110
0133220011
0230210213
0331200312
1022331110
1123321001
1220311203
1321301302
2021033120
2113023021
2210013223
2331003322
3002132130
3103122031
3200112233
3301102332
Figure A6. All the possible studied cases corresponding to Table A2.
Figure A6. All the possible studied cases corresponding to Table A2.
Micromachines 13 00231 g0a6

Appendix A.3. Investigation of Cases

In Figure 13, when the first I P A 1 radiates towards the 16th I P A 16 , we mention the distance between them as d 1 , 16 = 4.24 mm (Figure 16) and the maximum number of crossed antennas as C r o s s e d ( 1 , 16 ) = 4 when a simple line joins them. These two parameters affect the involved weight W g 1 , 16 . We consider two distances: the first is the horizontal L 1 , 16 with a head-to-head link (00, w e i g h t H = 100 ), the second is the vertical h 1 , 16 with a lateral-to-lateral link (right to right: 22, w e i g h t V = 30 ). Therefore, W g 1 , 16 is expressed as follows in Equation (A1):
W g 1 , 16 = W 1 , 16 ( d 1 , 16 , ( L 1 , 16 , w e i g h t H ( 00 ) ) , ( h 1 , 16 , w e i g h t V ( 22 ) ) , C r o s s e d ( 1 , 16 ) ) .
For each ( i , j ) , W g i , j is proportional to w e i g h t H and w e i g h t V , which depend upon Table A1 and Table A2. However, we have deduced four other parameters: ( d i , j , L i , j , h i , j , a n d C r o s s e d ( i , j ) ), which are inversely proportional to W g i , j .
Eventually, we maximized i = 1 n i = 1 m W g i , j , and we chose the best corresponding arrangement. A chosen case with any n or m can be studied using our method. The proposal is that each I P A noted A i transmits to A j using its own set of channels (Figure 17), ensuring that there are no more interferences and fewer problems of time division access. Each antenna couple A i j ( T x i , R x j ) will have specific frequencies with which to communicate. Consequently, the detected frequency signal ( x ( f ) ) will help to obtain the right couple A i j and vice-versa. To conclude, the target of obtaining a bijection between A i j and its set of frequencies was achieved thanks to our proposed N o C h A antenna.

References

  1. Karim, R.; Iftikhar, A.; Ijaz, B.; Ben Mabrouk, I. The Potentials, Challenges, and Future Directions of On-Chip-Antennas for Emerging Wireless Applications—A Comprehensive Survey. IEEE Access 2019, 7, 173897–173934. [Google Scholar] [CrossRef]
  2. Balti, M.; Abderrazzak, J. Performance survey of classic and Optic network on chip. IET Circuits-Devices-Syst. 2021, 15, 393–402. [Google Scholar] [CrossRef]
  3. Gutierrez, F. Design of a Wideband Antenna for Wireless Network-On-Chip in Multimedia Applications. J. Low Power Electron. Appl. 2017, 7, 6. [Google Scholar] [CrossRef] [Green Version]
  4. Devanathana, M.; Ranganathanb, V.; Sivakumarc, P. Congestion-aware wireless network-on-chip for high-speed communication. Autom. J. Control. Meas. Electron. Comput. Commun. 2020, 61, 92–98. [Google Scholar] [CrossRef] [Green Version]
  5. Abbosh, A.M.; Bialkowski, M.E. Design of Ultrawideband Planar Monopole Antennas of Circular and Elliptical Shape. IEEE Trans. Antennas Propag. 2008, 56, 17–23. [Google Scholar] [CrossRef]
  6. Angelopoulos, E.S.; Anastopoulos, A.Z.; Kaklamani, D.I.; Alexandridis, A.A.; Lazarakis, F.; Dangakis, K. Circular and Elliptical CPW-Fed Slot and Microstrip-Fed Antennas for Ultrawideband Applications. IEEE Antennas Wirel. Propag. Lett. 2006, 5, 294–297. [Google Scholar] [CrossRef]
  7. Al-Gburi, A.J.A.; Ibrahim, I.M.; Zakaria, Z.; Abdulhameed, M.K.; Saeidi, T. Enhancing Gain for UWB Antennas Using FSS: A Systematic Review. Mathematics 2021, 9, 3301. [Google Scholar] [CrossRef]
  8. Kumar, O.P.; Kumar, P.; Ali, T. A Compact Dual-Band Notched UWB Antenna for Wireless Applications. Micromachines 2022, 13, 12. [Google Scholar] [CrossRef] [PubMed]
  9. Karkar, A.; Mak, T.; Tong, K.F.; Yakovlev, A. A Survey of Emerging Interconnects for On-Chip Efficient Multicast and Broadcast in Many-Cores. IEEE Circuits Syst. Mag. 2016, 16, 58–72. [Google Scholar] [CrossRef] [Green Version]
  10. Marculescu, R.; Ogras, U.Y. Outstanding research problems in NoC design: System, micro-architecture, and circuit perspectives. IEEE Trans.-Comput.-Aided Des. Integr. Circuits Syst. 2009, 28, 3–21. [Google Scholar] [CrossRef]
  11. Rusli, M.S.; Lit, A.; Marsono, M.N.; Palesi, M. Adaptive Packet Relocator inWireless Network-on-Chip (WiNoC). In Modeling, Design and Simulation of Systems; Springer: Singapore, 2017; Volume 752, pp. 719–735. [Google Scholar] [CrossRef]
  12. Mineo, A.; Palesi, M.; Ascia, G.; Catania, V. An adaptive transmitting power technique for energy efficient mm-wave wireless NoCs. In Proceedings of the 2014 Design, Automation Test in Europe Conference Exhibition (DATE), Dresden, Germany, 24–28 March 2014; pp. 1–6. [Google Scholar] [CrossRef]
  13. Rusli, M.S.; Mineo, A.; Palesi, M.; Ascia, G.; Catania, V.; Marsono, M. A closed loop control based power manager for winoc architectures. In Proceedings of the MES ’14: International Workshop on Manycore Embedded Systems, Minneapolis, MN, USA, 15 June 2014; pp. 60–63. [Google Scholar] [CrossRef]
  14. Lit, A.; Rusli, M.; Marsono, M. Comparative performance evaluation of routing algorithm and topology size for wireless network-on-chip. Bull. Electr. Eng. Inform. 2019, 8, 1239–1250. [Google Scholar] [CrossRef]
  15. Zhao, D.; Wang, Y.; Li, J.; Kikkawa, T. Design of multi-channel wireless NoC to improve on-chip communication capacity. In Proceedings of the NOCS ’11: Fifth ACM/IEEE International Symposium on Networks-on-Chip, Pittsburgh, PA, USA, 1–4 May 2011; pp. 177–184. [Google Scholar] [CrossRef]
  16. Ortiz Sosa, J.; Sentieys, O.; Roland, C. Adaptive Transceiver for Wireless NoC to Enhance Multicast/Unicast Communication Scenarios. In Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Miami, FL, USA, 15–17 July 2019; pp. 592–597. [Google Scholar] [CrossRef] [Green Version]
  17. Deb, S.; Chang, K.; Ganguly, A.; Yu, X.; Teuscher, C.; Pande, P.; Heo, D.; Belzer, B. Design of an efficient NoC architecture using millimeter-wave wireless links. In Proceedings of the International Symposium on Quality Electronic Design, ISQED, Santa Clara, CA, USA, 19–21 March 2012; pp. 165–172. [Google Scholar] [CrossRef]
  18. Wang, Q.; Ouyang, Y.; Lu, Y.; Liang, H.; Zhu, D. Neural Network-based Online Fault Diagnosis in Wireless-NoC Systems. J. Electron. Test. 2021, 37, 545–559. [Google Scholar] [CrossRef]
  19. Mondal, H.K.; Kaushik, S.; Gade, S.H.; Deb, S. Energy-Efficient Transceiver for Wireless NoC. In Proceedings of the 2017 30th International Conference on VLSI Design and 2017 16th International Conference on Embedded Systems (VLSID), Hyderabad, India, 7–11 January 2017; pp. 87–92. [Google Scholar] [CrossRef]
  20. Xia, H.; Bertoni, H.; Maciel, L.; Lindsay-Stewart, A.; Rowe, R. Radio propagation characteristics for line-of-sight microcellular and personal communications. IEEE Trans. Antennas Propag. 1993, 41, 1439–1447. [Google Scholar] [CrossRef] [Green Version]
  21. Feuerstein, M.; Blackard, K.; Rappaport, T.; Seidel, S.; Xia, H. Path loss, delay spread, and outage models as functions of antenna height for microcellular system design. IEEE Trans. Veh. Technol. 1994, 43, 487–498. [Google Scholar] [CrossRef] [Green Version]
  22. Sommer, C.; Joerer, S.; Dressler, F. On the applicability of Two-Ray path loss models for vehicular network simulation. In Proceedings of the 2012 IEEE Vehicular Networking Conference (VNC), Seoul, Korea, 14–16 November 2012; pp. 64–69. [Google Scholar] [CrossRef]
  23. Karedal, J.; Czink, N.; Paier, A.; Tufvesson, F.; Molisch, A.F. Path Loss Modeling for Vehicle-to-Vehicle Communications. IEEE Trans. Veh. Technol. 2011, 60, 323–328. [Google Scholar] [CrossRef] [Green Version]
  24. Kunisch, J.; Pamp, J. Wideband Car-to-Car Radio Channel Measurements and Model at 5.9 GHz. In Proceedings of the 2008 IEEE 68th Vehicular Technology Conference, Calgary, AB, Canada, 21–24 September 2008; pp. 1–5. [Google Scholar] [CrossRef]
  25. Zöchmann, E.; Lerch, M.; Caban, S.; Mecklenbräuker, C.; Mecklenbrauker, C.; Rupp, M. Directional evaluation of receive power, Rician K-factor and RMS delay spread obtained from power measurements of 60 GHz indoor channels. In Proceedings of the 2016 IEEE-APS Topical Conference on Antennas and Propagation in Wireless Communications (APWC), Cairns, QLD, Australia, 19–23 September 2016; pp. 246–249. [Google Scholar] [CrossRef]
  26. Friis, H. A Note on a Simple Transmission Formula. Proc. IRE 1946, 34, 254–256. [Google Scholar] [CrossRef]
  27. Narde, R.S.; Mansoor, N.; Ganguly, A.; Venkataraman, J. On-chip antennas for inter-chip wireless interconnections: Challenges and opportunities. In Proceedings of the 12th European Conference on Antennas and Propagation (EuCAP 2018), London, UK, 9–13 April 2018; pp. 1–5. [Google Scholar] [CrossRef]
  28. Gade, S.H.; Ram, S.S.; Deb, S. Millimeter wave wireless interconnects in deep submicron chips: Challenges and opportunities. Integration 2019, 64, 127–136. [Google Scholar] [CrossRef]
  29. Gaha, H.; Balti, M. Design of on-chip fractal antenna for wireless NoC. In Proceedings of the International Conference on Electrical, Computer and Energy Technologies (ICECET), Cape Town, South Africa, 9–10 December 2021. [Google Scholar]
  30. Saponara, S.; Neri, B. System-level analysis for integrated power amplifier design in mmWave consumer wireless communications. In Lecture Notes in Electrical Engineering; Springer: New York, NY, USA, 2019; Volume 409, pp. 167–174. [Google Scholar]
  31. Saponara, S.; Neri, B. mm-wave integrated wireless transceivers: Enabling technology for high bandwidth connections in IoT. In Proceedings of the 2015 IEEE 2nd World Forum on Internet of Things (WF-IoT), Milan, Italy, 14–16 December 2015; pp. 149–153. [Google Scholar] [CrossRef]
  32. Xi, T.; Huang, S.; Guo, S.; Gui, P.; Zhang, J.; Choi, W.; Huang, D.; Kenneth, K.O.; Fan, Y. A new compact high-efficiency mmWave power amplifier in 65 nm CMOS process. In Proceedings of the 2015 IEEE MTT-S International Microwave Symposium, Phoenix, AZ, USA, 17–22 May 2015; pp. 1–4. [Google Scholar] [CrossRef]
  33. Neve, C.R. Small- and Large-Signal Characterization of Trap-Rich HR-Si/HR-SOI Wafers for SoC Applications. Ph.D. Thesis, Universite Catholique De Louvain-Ecole Polytechnique de Louvain-ICTEAM, Wavre, Belgium, 10 January 2012; pp. 231–238. [Google Scholar]
  34. Laha, S.; Sidhu, S.K. Feasibility of Full Duplex Communication for Wireless Network on Chips with OOK Modulation. In Proceedings of the IEEE 21st Annual Wireless and Microwave Technology Conference (WAMICON), Sand Key, FL, USA, 28–29 April 2021; pp. 1–5. [Google Scholar] [CrossRef]
  35. Jalili, H.; Momeni, O. 17.10 A 318-to-370GHz Standing-Wave 2D Phased Array in 0.13 µm BiCMOS. In Proceedings of the 2017 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 5–9 February 2017; pp. 310–311. [Google Scholar] [CrossRef]
  36. Calò, G.; Alam, B.; Bellanca, G.; Fuschini, F.; Barbiroli, M.; Tralli, V.; Bassi, P.; Stomeo, T.; Bozzetti, M.; Kaplan, A.E.; et al. Dielectric and Plasmonic Vivaldi Antennas for On-Chip Wireless Communication. In Proceedings of the 2019 21st International Conference on Transparent Optical Networks (ICTON), Angers, France, 9–13 July 2019; pp. 1–4. [Google Scholar] [CrossRef]
  37. Al-Eryani, J.; Knapp, H.; Kammerer, J.; Aufinger, K.; Li, H.; Maurer, L. Fully Integrated Single-Chip 305–375-GHz Transceiver With On-Chip Antennas in SiGe BiCMOS. IEEE Trans. Terahertz Sci. Technol. 2018, 8, 329–339. [Google Scholar] [CrossRef]
  38. Masri, I.E.; Le Gouguec, T.; Martin, P.M.; Allanic, R.; Quendo, C. Integrated dipole antennas and propagation channel on silicon in Ka band for WiNoC applications. In Proceedings of the 2018 IEEE 22nd Workshop on Signal and Power Integrity (SPI), Brest, France, 22–25 May 2018; pp. 1–4. [Google Scholar] [CrossRef]
  39. Pano, V.; Tekin, I.; Yilmaz, I.; Liu, Y.; Dandekar, K.R.; Taskin, B. TSV Antennas for Multi-Band Wireless Communication. IEEE J. Emerg. Sel. Top. Circuits Syst. 2020, 10, 100–113. [Google Scholar] [CrossRef]
Figure 1. WiNoC hybrid topology based on wireless hubs.
Figure 1. WiNoC hybrid topology based on wireless hubs.
Micromachines 13 00231 g001
Figure 2. Pure WiNoC topology based on wireless hubs.
Figure 2. Pure WiNoC topology based on wireless hubs.
Micromachines 13 00231 g002
Figure 3. On-chip antenna NoChA: dimensions and structure.
Figure 3. On-chip antenna NoChA: dimensions and structure.
Micromachines 13 00231 g003
Figure 4. Step 1: simple view.
Figure 4. Step 1: simple view.
Micromachines 13 00231 g004
Figure 5. Step 2: ultra-wide and Sierpinski second iteration.
Figure 5. Step 2: ultra-wide and Sierpinski second iteration.
Micromachines 13 00231 g005
Figure 6. Step 3: Simple coupling feeding.
Figure 6. Step 3: Simple coupling feeding.
Micromachines 13 00231 g006
Figure 7. Step 4: Second pair of rectangular patches.
Figure 7. Step 4: Second pair of rectangular patches.
Micromachines 13 00231 g007
Figure 8. S 11 (dB): Reflection coefficient of NoChA.
Figure 8. S 11 (dB): Reflection coefficient of NoChA.
Micromachines 13 00231 g008
Figure 9. Gain, directivity, efficiency, and power radiated vs. frequency.
Figure 9. Gain, directivity, efficiency, and power radiated vs. frequency.
Micromachines 13 00231 g009
Figure 10. Radiation pattern at 77 GHz and 123 GHz (cut on ϕ = 0 ) and the current density scaled from −80 dB (A/m) (blue) to 71.8 dB (A/m) (red).
Figure 10. Radiation pattern at 77 GHz and 123 GHz (cut on ϕ = 0 ) and the current density scaled from −80 dB (A/m) (blue) to 71.8 dB (A/m) (red).
Micromachines 13 00231 g010
Figure 11. NoChA parameters at 77 GHz.
Figure 11. NoChA parameters at 77 GHz.
Micromachines 13 00231 g011
Figure 12. NoChA parameters at 123 GHz.
Figure 12. NoChA parameters at 123 GHz.
Micromachines 13 00231 g012
Figure 13. Disposition of 4 × 4 IP-Antennas from 1 to 16.
Figure 13. Disposition of 4 × 4 IP-Antennas from 1 to 16.
Micromachines 13 00231 g013
Figure 14. Transmission from I P A 1 to I P A 6 .
Figure 14. Transmission from I P A 1 to I P A 6 .
Micromachines 13 00231 g014
Figure 15. Structure of all sub-channels from 1 to 1440, considering 4.92 MHz inter-sub-channel gap.
Figure 15. Structure of all sub-channels from 1 to 1440, considering 4.92 MHz inter-sub-channel gap.
Micromachines 13 00231 g015
Figure 16. All possible distances inter I P A i : [4.24, 3.6, 3.16, 3.0, 2.82, 2.23, 2.0, 1.41, 1.0].
Figure 16. All possible distances inter I P A i : [4.24, 3.6, 3.16, 3.0, 2.82, 2.23, 2.0, 1.41, 1.0].
Micromachines 13 00231 g016
Figure 17. A sample of an assignment plan for the list of 240 A j i (here m = 6 ) ( I P A j , I P A i ): [ N s c h 1 , . . . , N s c h 6 ] . The 6 underlined numbers are the assigned frequencies to I P 16 to communicate with I P 1 .
Figure 17. A sample of an assignment plan for the list of 240 A j i (here m = 6 ) ( I P A j , I P A i ): [ N s c h 1 , . . . , N s c h 6 ] . The 6 underlined numbers are the assigned frequencies to I P 16 to communicate with I P 1 .
Micromachines 13 00231 g017
Table 1. −10 dB frequency markers of NoChA.
Table 1. −10 dB frequency markers of NoChA.
MarkersFrequency (GHz) S 11 (dB)
miFiS11
m163.26−10.469
m276.25−23.136
m378.32−10.2016
m4101.2−10.469
m5103.6−21.630
m6116.1−47.364
m7140.0−42.129
m8157.0−9.839
Table 2. Antenna parameters.
Table 2. Antenna parameters.
F GHz E max  (V) Θ max ( ) Φ max ( ) D max (dB) G max (dB) Radiated  (W) Input (W) η
770.264162.000245.0005.860−3.2403.018 × 10 4 0.0020.123
1060.168141.00062.0004.683−7.1861.606 × 10 4 0.0020.065
1130.179141.00058.0005.921−6.6791.369 × 10 4 0.0020.055
1230.149129.000269.0002.869−8.1721.291 × 10 4 0.0020.079
1410.198176.00039.0004.883−5.7952.11 × 10 4 0.0020.086
Table 3. Performance comparison of NoChA.
Table 3. Performance comparison of NoChA.
ReferenceSize (mm2)Bw (GHz)Gain (dB)Frequency (GHz)Antenna Type
[34]0.02351–66−26.860not reported
[35]1.2318–370Not reported344patch
[36]10.5455–65−10.660Vivaldi
[37]2.85305–3751.85340differential rectangular patch antenna
[38]Not reported26–401.6960dipole
[39]1.663.5–68.5−1.4not reportedTSVA
This0.824B1(63 to 78)−3.2477patch
work B2(110 to 157)−5.795140
Table 4. Antenna frequency assignment.
Table 4. Antenna frequency assignment.
Band_1 (63.26–78.32) GHZ Band_2 (101.2–157.0) GHz
Sub-Channels
Ai,j123..76..306307618..690..13591360....1440
1A1,2
2A1,3
......................................
76A6,1 66.9 116.5 120 152.9153
......................................
240A16,15
Table 5. Used sub-channels [f2, f4]; gaps [f1, f2] and [f4, f5]—used for A61 communication.
Table 5. Used sub-channels [f2, f4]; gaps [f1, f2] and [f4, f5]—used for A61 communication.
Subch N°f1 (Hz)f2 (Hz)f3 (Hz)f4 (Hz)f5 (Hz)
7666 95062497566 95308539166 97522914166 99737289166 999833308
618116 501541461116 504001877116 526145627116 548289377116 550749794
669119 011166444119 013626860119 035770610119 057914360119 060374777
690120 044541437120 047001853120 069145603120 091289353120 093749770
1359152 964916214152 967376630152 989520380153 011664130153 014124547
1360153 014124547153 016584963153 038728713153 060872463153 063332880
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Gaha, H.I.; Balti, M. Novel Bi-UWB on-Chip Antenna for Wireless NoC. Micromachines 2022, 13, 231. https://doi.org/10.3390/mi13020231

AMA Style

Gaha HI, Balti M. Novel Bi-UWB on-Chip Antenna for Wireless NoC. Micromachines. 2022; 13(2):231. https://doi.org/10.3390/mi13020231

Chicago/Turabian Style

Gaha, Hafedh Ibrahim, and Moez Balti. 2022. "Novel Bi-UWB on-Chip Antenna for Wireless NoC" Micromachines 13, no. 2: 231. https://doi.org/10.3390/mi13020231

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop