Next Article in Journal
MEMS Enabled Miniature Two-Photon Microscopy for Biomedical Imaging
Next Article in Special Issue
Investigation of Anomalous Degradation Tendency of Low-Frequency Noise in Irradiated SOI-NMOSFETs
Previous Article in Journal
Meta-Atoms with Toroidal Topology for Strongly Resonant Responses
Previous Article in Special Issue
Analytical Separated Neuro-Space Mapping Modeling Method of Power Transistor
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A Reliability System Evaluation Model of NoC Communication with Crosstalk Analysis from Backend to Frontend

1
School of Microelectronics, Xidian University, Xi’an 710126, China
2
Technology on Reliability Physics and Application Technology of Electronic Component Laboratory, China Electronic Product Reliability and Environmental Testing Research Institute, Guangzhou 510610, China
3
Guangzhou Institute of Technology, Xidian University, Guangzhou 510555, China
*
Authors to whom correspondence should be addressed.
Micromachines 2023, 14(2), 469; https://doi.org/10.3390/mi14020469
Submission received: 23 December 2022 / Revised: 15 February 2023 / Accepted: 15 February 2023 / Published: 17 February 2023
(This article belongs to the Special Issue High-Reliability Semiconductor Devices and Integrated Circuits)

Abstract

:
Network on chip (NoC) is the main solution to the communication bandwidth of a multi-processor system on chip (MPSoC). NoC also brings more route requirements and is highly prone to errors caused by crosstalk. Crosstalk has become a major design problem in deep-submicron NoC communication design. Hence, a crosstalk error model and corresponding reliable system with error correction code (ECC) are required to make NoC communication reliable. In this paper, a reliability system evaluation model (RSE) of NoC communication with analysis from backend to frontend has been proposed. In the backend, a crosstalk error rate model (CER) is established with a three-wire RLC coupling model and timing constraints. The CER is used to establish functional relations between interconnect spacing, length and signal frequency, and test system reliability. In the frontend, a reliability system performance model (RSP) is established with a CER, reliability method cost and bandwidth. The RSE summarizes the frontend and backend model. In order to verify the RSE model, we propose a reliability system with a hybrid automatic repeat request technique (RSHARQ). Simulation demonstrates that the CER model is close to real circuit design. Through the CER and RSP model, the performance of RSHARQ could be simulated.

1. Introduction

Network on chip (NoC) has emerged as a promising solution for multicore system on chip communication design [1,2], which overcomes the complexity of wire delay and flexibility in chip architectures [3,4,5,6]. NoC is a packet-based, on-chip communication switching network designed for communication among the intellectual property (IP) cores of SoC systems [7]. NoCs use packets to exchange data between processing elements (PEs) via network fabric that consists of resource network interfaces (RNI), routers and interconnecting links. Among these, interconnection links are the most affected by crosstalk [8].
Crosstalk is a type of noise which is introduced by an unwanted coupling between a node and its neighboring wire or between two neighboring wires [9]. As technology scales down, the dimensions of interconnects also scale down. This reduces the spacing among interconnects [10]. With the high signal frequency and small spacing between interconnects, the coupling between the lines has become well known [11,12]. Coupling between signal lines can cause logic failures and timing degradation in digital systems [13]. Crosstalk has become the most critical concern in modern sub-10 nm integrated circuits [14]. This coupling causes problems that can be addressed by various methods like shielding [15,16,17,18,19,20], inserting repeaters [21,22,23,24,25] or buffers [26,27,28,29,30,31], duplication [32] and crosstalk avoidance code (CAC) [33,34,35,36]. Insertion of buffers and shielding has more area cost when compared to duplication or CAC [37].
Various error correction code (ECC) schemes have been proposed to protect communication between IP cores [38,39,40,41]. In [38,39], the authors focus on weighing the performance and design cost of ECC. In [40,41], which address improving the transient fault tolerance, have shown that implementing complex ECC schemes incurs high area overhead and high energy dissipation and may adversely affect the performance of the NoC. They use assumed crosstalk error injection to verify their schemes. The error rate caused by crosstalk is relevant to the spacing between interconnects and the frequency of NoC. Bandwidth is mainly determined by spacing between interconnects and working frequency. Hence, a crosstalk error model and corresponding reliable system with ECC are required to make NoC communication reliable.
In this paper, a reliability system evaluation model of NoC communication with analysis from backend to frontend has been presented (BFRS). In the backend, with a three-wire RLC coupling model, an error rate model considering timing constraints (SSTC) is proposed to establish functional relations between interconnect spacing, length and signal frequency. With different nodes’ dimensions, the SSTC calculates out error rates modeled with the physical size of interconnects and the working environment, which can be used to test the system’s reliability. In the frontend, effective bandwidth can be modeled with route spacing, working frequency, encode cost on code length and automatic repeat-request (ARQ) cost with error injection rates. The reliability system performance model (RSP) can be modeled with bandwidth and effective bandwidth. BFRS summarizes the frontend to backend model. In order to verify BFRS model, we propose a reliability system with a hybrid automatic repeat request technique (RSHARQ). RSHARQ uses Hamming code as ECC and a cyclic redundancy check (CRC) with the proposed code transmission scheme as ARQ. Finally, simulation results are shown.

2. The Methods of Establishing RSP Model and RSHARQ

2.1. Three-Wire Coupling Interconnect Model

Referring to [10,42], Section 2.1 establishes the RLC coupling model of interconnects, as Figure 1 and Figure 2 show.
Figure 1 is the cross-sectional view of the coupling interconnect model. Figure 1a shows the equivalent capacitance model of coupling interconnects. C g is the coupling capacitance between an interconnect and the grounding metal layers. C c is the coupling capacitance of adjacent interconnects. Figure 1b shows the dimensions of an interconnect.
Figure 2 shows the distributed RLC spice model of an interconnect, where the interconnect is equivalently divided into n segments. V in is the driving voltage source, R th is the driving resistance, and C f is the equivalent load of the interconnect.
All parameters mentioned above can be calculated from the interconnect dimensions shown in Figure 1b, the material parameters, the working status parameters (called timing constraint parameters as well) and the formulas listed below.
Dimension parameters of an interconnect include: the length l, width w, thickness t, spacing s and distance h. Material parameters include: the insulating layer relative dielectric constant ε r and interconnect resistivity ρ . Working status parameters include: the rising time t r and falling time t f .
Referring to [42,43,44,45], formulas are shown below:
R = ρ   ·   l w   ·   t
L = μ 0   ·   l 2 π [ ln ( 2 l w + t ) + 1 2 + 0.22 ( w + t ) l ]
M = μ 0   ·   l 2 π [ ln ( 2 l s + w ) 1 + s + w l ]
k M = M L
C g = ε 0 ε r [ w h + 2.04 ( s s + 0.54 h ) 1.77 ·   ( t t + 4.53 h ) 0.07 ]
C c = ε 0 ε r [ 1.41 t s e 4 s s + 8.01 h + 2.37 ( w w + 0.31 s ) 0.28 ·   ( h h + 8.96 s ) 0.76 ·   e 2 s s + 6 h ]
n 10 ( l V   ·   t r )
where R is the resistance of the whole interconnect, L is the self-inductance of interconnects, M is the mutual inductance between interconnects, μ 0 is the vacuum permeability, k M is the mutual inductance coefficient, ε 0 is the vacuum dielectric constant, V is the propagation velocity of electromagnetic wave in interconnects, and n is the number of the three-wire distributed RLC spice model’s interconnect segments.

2.2. An Error Rate Model with RLC Wire Coupling Model and Timing Constraints (SSTC)

With the three-wire RLC coupling model of interconnects and different bestirring sources on the three wires, wire delays could be simulated out with spice. In this section, the measurement method of crosstalk errors and error rates are presented.
In digital circuit design, we use the timing constant parameters input delay and output delay to describe the delay caused by signals passing through wires and ports. Input delay means delay caused by signals passing from outside to inside through ports. Output delay means delay caused by signals passing from inside to outside through ports. Generally, we set the input delay and output delay as 60% of the timing cycle length. The delay of signals passing through ports is set as 20% of the cycle length, so the wire delay is 40% of the cycle length.
With the bestirring source frequency, the timing cycle length can be obtained. If the signal wire transmission delay is greater than 40% of the timing cycle length through spice simulation, coupling crosstalk will cause bit errors on the signal line.
With simulation results of all possible bestirring sources, we can build the SSTC model.
Through the SSTC model, an interconnect maximum operating frequency is shown in Equation (8):
f m = 0.4 t tdm
where t tdm is the delay of transmission through wires. f m is the maximum working frequency.

2.3. A Reliability System Performance Model (RSP)

Bit errors can be corrected or detected by a reliability system. ECC is used to correct n-bit errors and detect n + 1-bit errors; ARQ is used to detect n-bit errors without the ability to correct errors, where n is the length of the error bits. If errors detected could not be corrected, the system will send data again. HARQ is a scheme combining ECC and ARQ. Considering ECC and ARQ integration, a reliability system performance model with relationship evaluation between error rates, reliability method cost and bandwidth has been presented. Details of the RSP model are shown below.
Most parameters mentioned in Section 2.1 are decided by chip fabrication technology. The designer can only decide the spacing and length of interconnects. For a given length of an interconnect, crosstalk error rates e can be expressed as function g, as Equation (9) shows:
e = g ( s , f m )
In digital circuit design, the spacing between interconnects determines the maximum amount of route lines and the max bandwidth B. Equation (9) can be modified into Equation (10):
e = g ( 1 B , f m )
Assuming that a reliability system with HARQ could correct a bit errors and detect b bit errors in a code of length n bits, where b is larger than a, the possibilities of single transmission success P a and system accurate judgment P b can be calculated with Equations (10) and (11).
P a = m = 0 a n ! m ! ( n m ) !   ·   e m ( 1 e ) n m
P b = m = 0 b n ! m ! ( n m ) !   ·   e m ( 1 e ) n m
The possibility of resending data in single transmission is P :
P = P b P a
The possibility of reliability scheme failure is P f :
P f = 1 P b
The expected number of one-packet transmission times is N t :
N t = 1   ·   P + 2   ·   P ( 1 P ) + 3   ·   P ( 1 P ) 2 + + l   ·   P ( 1 P ) l 1 + = 1 P
The effective throughput of the HARQ reliability system is η SR :
η SR = 1 N t ( k n ) = ( k n ) P
where k is the original code length without ECC encoding.
As a result, the effective bandwidth B w can be calculated as follows:
B w =   η SR   ·   B
With the formula mentioned above, the RSP model has been built to explain the relationship between error rates, reliability method cost and bandwidth.
Summarizing the SSTC model and RSP model, a reliability system evaluation model (BFRS) is established from backend to frontend.

2.4. A Reliability System with HARQ and CRC Technique (RSHARQ)

In order to verify the BFRS model, we develop a reliability system with HARQ and the CRC technique (RSHARQ). The system flowchart is shown in Figure 3. The details of RSHARQ are shown below.
Define one packet with 4 flits, and each flit has 5 bits. In 20 bits, transmission information occupies 16 bits, while the rest is for urgent words or CRC words. In order to improve the system reliability, the lines for CRC words or urgent words should not be influenced by crosstalk. Each flit has 4 information bits and a special bit.
In the first operation cycle, the system sends 16 bits information and 4 bits CRC words. If the CRC check is right, the transmission is successful. If not, the system sends a packet with each flit’s Hamming word, which has a length of 12 bits. If the ECC detects a multi-error, the system will execute ARQ for each flit. If the CRC check is still false with ECC correcting, the system will execute ARQ.

3. Results

The simulation was carried out with the University of California, Berkeley’s Predictive Technology Model (PTM) 65 nm nodes and a TSMC 28 nm High-Performance Computing (HPC) library. Table 1 shows values of a PTM 65 nm interconnect. Table 2 shows values of a TSMC 28 nm interconnect. Notably, 65 nm node values are used to verify the processes of the SSTC and RSP model. Due to the completed library files of 28 nm nodes, we compare the SSTC model result with parameters extracted from the backend.
The simulation adopts the Cadence process to work out. INNOVUS and QRC are used to simulate the backend mentioned in the SSTC model. SPECTRE is used for Hspice simulation. Additionally, MATLAB is used to calculate the parameters mentioned in the models and simulate the frontend mentioned in the RSP model and RSHARQ.
Firstly, 65 nm node simulation is presented. The driven voltage is set to 1.2 V, the equivalent drive resistance is set to 156 Ω, and the equivalent load capacitance is set to 64 fF. The wire length is set as 500 µm.
Directional arrows are used to indicate the bestirring source. For example, “-“ means the signal of the wire is not changing, “↑” means the signal of the wire is changing from low voltage to high voltage, and “↓” means the signal of the wire is changing from high voltage to low voltage. We use directional arrow aggregates to express the bestirring source on the three-wire coupling model, such as (-, ↑, ↓).
Table 3 shows the three-wire coupling model spice simulation results on a 65 nm node.
From Table 3, the delay can be divided into (120, 110, 95, 80, 74, -). For convenience of description, the delay around 120 ps called G6 delay, which occurs in the middle line with two different signals changing direction in the side lines, as (↑, ↓, ↑). Similarly, the delay around 110 ps is called G5 delay, the delay around 95 ps is called G4 delay, the delay around 80 ps is called G3 delay, the delay around 74 ps is called G2 delay, and the delay around 0 is called G1 delay. With Formula (8), the G6 frequency, denoted as G6. Freq, is 0.4/120 ps = 3.3 GHz. Similarly, G5. Freq is 3.6 GHz, and G4. Freq is 4.2 GHz.
If the working frequency is in the range of (G5. Freq, G6. Freq) and not equal to G6. Freq, all situations of G6 delay lead to error. The system error is denoted as a G6 crosstalk error. Similarly, a system error with a working frequency in the range (G5. Freq, G4. Freq) and not equal to G5. Freq is denoted as a G5 crosstalk error. As shown in Table 3, the G6 crosstalk error rate is 0.97%, and the G5 crosstalk error rate is 5.21%.
In Table 4, we simulate the relationship between bandwidth and wire dimensions (spacing and length), which can be modified by the designer. A B1 rate means bandwidth improvement rates between bandwidth, with the wire spacing changing and the original bandwidth with G6. Freq. A B2 rate means bandwidth improvement rates between bandwidth with G5. Freq and bandwidth with G6. Freq under the same spacing.
Table 4 shows that both the B1 rate and B2 rates increase with the decrease of wire spacing. However, with spacing decreasing, the maximum frequency is lower as well.
Then, we give simulation results on 28 nm nodes. The driven voltage is set to 0.9 V, the equivalent drive resistance is set to 156 Ω, and the equivalent load capacitance is set to 4.5 pF on 28 nm nodes. The wire length is set to 800 µm. We build a real three-wire model in the Cadence process, as Figure 4 shows.
Figure 4c is the layout and top view of the wire model design. In Figure 4c, INV11, INV12, INV13, INV21, INV22 and INV23 are library standard cells, which are displayed as gray areas located in the space between VSS and VDD.
Table 5 shows the three-wire coupling model spice simulation results and real model extraction results on 28 nm nodes. The spice model results are recoded as “-S”, while the real model results are recoded as “-R”.
Table 5 shows that the delay with spice simulation is close to the delay with INNOVUS extraction, which means the three-wire coupling spice model is close to the real circuit model.
Additionally, the frequency, error rate and bandwidth are analyzed.
From Table 5, the delay can be divided into (874, 701, 494, 334, 236, -). For convenience of description, the delay around 874 ps is called G6 delay, which occurs in the middle line with two different signals changing direction in the side lines, as (↑, ↓, ↑). Similarly, the delay around 701 ps is called G5 delay, the delay around 494 ps is called G4 delay, the delay around 334 ps is called G3 delay, the delay around 236 ps is called G2 delay, and the delay around 0 is called G1 delay. With Formula (8), the G6 frequency, denoted as G6. Freq, is 0.457 GHz. Similarly, G5. Freq is 0.570 GHz.
If the working frequency is in the range of (G5. Freq, G6. Freq) and not equal to G6. Freq, all situations of G6 delay lead to errors. The system error is denoted as G6 a crosstalk error. Similarly, a system error with the working frequency in the range (G5. Freq, G4. Freq) and not equal to G5. Freq is denoted as a G5 crosstalk error. As shown in Table 3, the G6 crosstalk error rate is 0.97%, and the G5 crosstalk error rate is 5.21%.
In Table 6, we simulate the relationship between bandwidth and wire dimensions (spacing and length), which can be modified by the designer. A B1 rate means bandwidth improvement rates between the bandwidth, with the wire spacing changing and the original bandwidth with G6. Freq. B2 rate means bandwidth improvement rates between the bandwidth with G5. Freq and the bandwidth with G6. Freq under the same spacing.
Table 6 shows that both the B1 rate and B2 rate increase with the decrease of wire spacing. However, with spacing decreasing, the maximum frequency is lower as well.
The simulation results for the 28 nm node are similar to those for the 65 nm node.
Generally, the reliability system has the possibility to break down when the occurring error is out of the design range. The breakdown phenomenon is called collision. In Table 7, (20,16) the CRC collision rate is simulated with different injection bit error rates.
Table 7 shows that under G6 crosstalk error, the CRC has a 1.9‱ chance to break down; under a G5 crosstalk error, the CRC has a 50.2‱ chance to break down.
Table 8 shows average sending times and collision times of RSHARQ with different error rates under 100,000 cycles of simulation.
Under the G6 crosstalk error rate, RSHARQ has a 17.4% cost to ensure data reliability. Under the G5 crosstalk error rate, RSHARQ has a 80.3% cost to ensure data reliability. Assuming that RSHARQ is designed on 65 nm nodes, according to the system frequency required, the spacing of lines could be chosen and the effective bandwidth of system could be calculated out before the real design is implemented.

4. Discussion

In Section 3, Table 4 evaluates the bandwidth change with the B1 rate and B2 rate. The B1 rate represents the impact of interconnect spacing changes on bandwidth. The B2 rate represents the bandwidth improvement ratio before and after the hardening design. Through the simulation, the B1 rate increases with the decrease of spacing, which means that although the frequency decreases with the decrease of interconnect spacing, the bandwidth of interconnects increases with the decrease of spacing. The B2 rate decreases with the decrease of spacing, which means that the bandwidth improvement ratio of the hardening design decreases. The reliability design guarantees the accuracy of signal transmission and allows the decrease of the interconnection distance while maintaining the original frequency. The bandwidth is increased by tolerating a part of the crosstalk error with the reliability design. With the decrease of the interconnection spacing, the improvement of this method become lesser.
For NoC design, we hope that each IP has enough bandwidth allocation. In fact, the area of a chip is limited, which leads to the limitation of the wiring area and bandwidth for each IP. The current digital integrated circuit design, especially regarding router design with large traffic, often adopts a lower frequency and smaller interconnection spacing in order to pursue greater bandwidth. However, the premise of adopting this method in the stage of layout is to change the NoC design from an isomorphic design to a heterogeneous design, which increases the complexity of router design.
In this paper, a BFRS is proposed to formulate the relationship between bandwidth and interconnect parameters, which determines the chip area with the IP area. By using the proposed model in the analysis stage of the integrated circuit library, an evaluation model for length, spacing, working frequency and bandwidth could be established. The length and spacing of the interconnects between each route are determined by combining the area size and bandwidth demand analysis of the IP selected.

5. Conclusions

A reliability system evaluation model of NoC communication with analysis from backend to frontend (BFRS) has successfully been validated against the well-established model. With the model proposed and a simulation of a PTM 65 nm library, we establish the crosstalk error rate model and the relationship between interconnect spacing, length, working experience and bandwidth. The B1 rate and B2 rate are proposed to evaluate the change rate of the bandwidth. In order to verify the accuracy of proposed model, the interconnect parameters are simulated with the proposed model and simulated with a real circuit in a TSMC 28 nm library. The simulation results show that the SSTC spice model is close to the real circuit model.
In the stage of analysis of the library, with the BFRS model, we could obtain a preliminary judgment on chip area, bandwidth, frequency and reliability with the selected IP’s information. The BFRS model can help designers to evaluate the reliability system with real error rates using selected technology nodes, rather than assuming the error injection model.
Meanwhile, in order to evaluate the crosstalk influence in a real circuit, parasitic extraction and a circuit spice model are required. With the development of Golden Spice+GPU and Fast Spice, spice simulation analysis can be carried out on key modules of digital circuits. The simulation speed cannot meet the requirements of digital integrated circuit system verification, and the reliability of the system cannot be fully verified. With model proposed and delay replacement, in the early design period, the crosstalk delay influence can be evaluated with transfer simulation.

6. Patents

Patents “A highly Reliable Communication System for Network on Chip of Multicore Processor System” (No. CN202210261693.4) and “A Design Performance Evaluation Method and System of NoC Communication Architecture” (No. CN202210253790.9) are resulting from the work reported in this manuscript.

Author Contributions

Conceptualization, X.W.; methodology, X.W. and C.X.; validation, X.W.; formal analysis, X.W.; investigation, X.W. and Y.L.; resources, X.L. and Y.L.; data curation, X.W. and C.X.; writing—original draft preparation, X.W., L.Z., S.W. and D.C.; writing—review and editing, X.W. and C.X.; visualization, X.W.; supervision, Y.L. and Y.Y.; project administration, X.L. and Y.L.; funding acquisition Y.L. and C.X. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the Opening Project (no. ZHD202006) of Science and Technology on Reliability Physics and Application Technology of Electronic Component Laboratory, the Natural Science Foundation of Guangdong, China (no.2021A1515012293), National Natural Science Foundation of China Youth Fund under Grant 62004146 and the China Postdoctoral Science Foundation under Grant 2021M692498.

Data Availability Statement

Data are available from the authors upon reasonable request.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Ogras, U.Y.; Bogdan, P.; Marculescu, R. An Analytical Approach for Network-on-Chip Performance Analysis. IEEE Trans. Comput. -Aided Des. Integr. Circuits Syst. 2010, 29, 2001–2013. [Google Scholar] [CrossRef]
  2. Nedjah, N.; Silva, L., Jr.; Mourelle, L. Congestion-aware ant colony based routing algorithms for efficient application execution on Network-on-Chip platform. Expert Syst. Appl. 2013, 40, 6661–6673. [Google Scholar] [CrossRef]
  3. Sahu, P.K.; Shah, T.; Manna, K.; Chattopadhyay, S. Application Mapping onto Mesh-Based Network-on-Chip Using Discrete Particle Swarm Optimization. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2014, 22, 300–312. [Google Scholar] [CrossRef]
  4. Št’áva, M. Efficient Error Recovery Scheme in Fault-tolerant NoC Architectures. In Proceedings of the 2019 IEEE 22nd International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), Cluj-Napoca, Romania, 24–26 April 2019; pp. 1–4. [Google Scholar]
  5. Benini, L.; Micheli, G.D. Networks on chips: A new SoC paradigm. Computer 2002, 35, 70–78. [Google Scholar] [CrossRef] [Green Version]
  6. Pande, P.P.; Grecu, C.; Ivanov, A.; Saleh, R.; Micheli, G.D. Design, synthesis, and test of networks on chips. IEEE Des. Test Comput. 2005, 22, 404–413. [Google Scholar] [CrossRef]
  7. Sun, J.; Zhang, Y. An energy-aware mapping algorithm for mesh-based network-on-chip architectures. In Proceedings of the 2017 International Conference on Progress in Informatics and Computing (PIC), Nanjing, China, 15–17 December 2017; pp. 357–361. [Google Scholar]
  8. Moaiyeri, M.H.; Taheri, Z.M.; Khezeli, M.R.; Jalali, A. Efficient Passive Shielding of MWCNT Interconnects to Reduce Crosstalk Effects in Multiple-Valued Logic Circuits. IEEE Trans. Electromagn. Compat. 2018, 61, 1593–1601. [Google Scholar] [CrossRef]
  9. Jiang, I.H.R.; Yao-Wen, C.; Jing-Yang, J. Crosstalk-driven interconnect optimization by simultaneous gate and wire sizing. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2000, 19, 999–1010. [Google Scholar] [CrossRef] [Green Version]
  10. Prasad, D.; Naeemi, A. Interconnect design for evolutionary, and revolutionary transistor technologies. In Proceedings of the 2017 IEEE International Interconnect Technology Conference (IITC), Hsinchu, Taiwan, 16–18 May 2017; pp. 1–3. [Google Scholar]
  11. Bhardwaj, H.; Jadav, S.; Sohal, H.; Jain, S. Optimized Crosstalk Circuit for Long Wire Copper Interconnects using 45nm CMOS Inverter. In Proceedings of the 2020 IEEE International Women in Engineering (WIE) Conference on Electrical and Computer Engineering (WIECON-ECE), Bhubaneswar, India, 26–27 December 2020; pp. 392–395. [Google Scholar]
  12. Vittal, A.; Chen, L.H.; Marek-Sadowska, M.; Kai-Ping, W.; Yang, S. Crosstalk in VLSI interconnections. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 1999, 18, 1817–1824. [Google Scholar] [CrossRef] [Green Version]
  13. Hamedani, S.G.; Moaiyeri, M.H. Comparative analysis of the impacts of CNTFET and GNRFET drivers on the crosstalk effects in MLGNR interconnects at 7nm technology node. In Proceedings of the 2020 10th International Conference on Computer and Knowledge Engineering (ICCKE), Mashhad, Iran, 29–30 October 2020; pp. 670–675. [Google Scholar]
  14. Kose, S.; Salman, E.; Friedman, E.G. Shielding Methodologies in the Presence of Power/Ground Noise. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2010, 19, 1458–1468. [Google Scholar] [CrossRef]
  15. Zangeneh, M.; Masoumi, N. Throughput optimization for interleaved repeater-inserted interconnects in VLSI design. In Proceedings of the 2010 3rd International Nanoelectronics Conference (INEC), Hong Kong, China, 3–8 January 2010; pp. 1443–1444. [Google Scholar]
  16. Akhsham, M.; Seyedolhosseini, A.; Navabi, Z. Test Adapted Shielding by a Multipurpose Crosstalk Avoidance Scheme. In Proceedings of the 2019 IEEE European Test Symposium (ETS), Baden-Baden, Germany, 27–31 May 2019; pp. 1–2. [Google Scholar]
  17. Junmou, Z.; Friedman, E.G. Crosstalk noise model for shielded interconnects in VLSI-based circuits. In Proceedings of the IEEE International [Systems-on-Chip] SOC Conference, Portland, OR, USA, 17–20 September 2003; pp. 243–244. [Google Scholar]
  18. Khezeli, M.R.; Moaiyeri, M.H.; Jalali, A. Investigating Active Shielding Method for Reducing the Crosstalk Effects in Copper and MWCNT Bundle Interconnects in Ternary Logic. In Proceedings of the Electrical Engineering (ICEE), Iranian Conference on, Mashhad, Iran, 8–10 May 2018; pp. 168–172. [Google Scholar]
  19. Li, Y.S.; Xu, Y.L.; Yang, D.C.; Li, J.; Wei, X.C.; Li, E.P. A Shielding Structure for Crosstalk Reduction in Silicon Interposer. IEEE Microw. Wirel. Compon. Lett. 2016, 26, 246–248. [Google Scholar] [CrossRef]
  20. Yalin, R.; Xiaole, C.; Xiaoyan, X.; Xiaoxin, C.; Yufeng, J. A crosstalk avoidance method combining crosstalk avoidance code with shielding wire technique. In Proceedings of the 2016 IEEE MTT-S International Microwave Workshop Series on Advanced Materials and Processes for RF and THz Applications (IMWS-AMP), Chengdu, China, 20–22 July 2016; pp. 1–3. [Google Scholar]
  21. Akl, C.J.; Bayoumi, M.A. Reducing Interconnect Delay Uncertainty via Hybrid Polarity Repeater Insertion. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2008, 16, 1230–1239. [Google Scholar] [CrossRef]
  22. Fatemi, H.; Amelifar, B.; Pedram, M. Power optimal MTCMOS repeater insertion for global buses. In Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED ‘07), Portland, OR, USA, 27–29 August 2007; pp. 98–103. [Google Scholar]
  23. Pamunuwa, D.; Tenhunen, H. Repeater insertion to minimise delay in coupled interconnects. In Proceedings of the VLSI Design 2001. Fourteenth International Conference on VLSI Design, Bangalore, India, 7 January 2001; pp. 513–517. [Google Scholar]
  24. Secareanu, R.M.; Banejee, S.K.; Hartin, O.; Fernandez, V.; Friedman, E.G. Managing substrate and interconnect noise from high performance repeater insertion in a mixed-signal environment. In Proceedings of the 2005 IEEE International Symposium on Circuits and Systems (ISCAS), Kobe, Japan, 23–26 May 2005; Volume 611, pp. 612–615. [Google Scholar]
  25. Tenhunen, H.; Pamunuwa, D. On dynamic delay and repeater insertion. In Proceedings of the 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353), Phoenix-Scottsdale, AZ, USA, 26–29 May 2002; pp. 97–100. [Google Scholar]
  26. Deogun, H.S.; Rao, R.; Sylvester, D.; Brown, R.; Nowka, K. Dynamically pulsed MTCMOS with bus encoding for total power and crosstalk minimization. In Proceedings of the Sixth International Symposium on Quality Electronic Design (isqed’05), San Jose, CA, USA, 21–23 March 2005; pp. 88–93. [Google Scholar]
  27. Kahng, A.B.; Reda, S.; Sharma, P. On-Line Adjustable Buffering for Runtime Power Reduction. In Proceedings of the 8th International Symposium on Quality Electronic Design (ISQED’07), San Jose, CA, USA, 26–28 March 2007; pp. 550–555. [Google Scholar]
  28. Pathade, T.; Shah, U.; Agrawal, Y.; Parekh, R. Preeminent Buffer Insertion Technique for Long Advanced On-Chip Graphene Interconnects. In Proceedings of the 2018 IEEE Electrical Design of Advanced Packaging and Systems Symposium (EDAPS), Chandigarh, India, 16–18 December 2018; pp. 1–3. [Google Scholar]
  29. Zangeneh, M.; Masoumi, N. An analytical delay reduction strategy for buffer-inserted global interconnects in VDSM technologies. In Proceedings of the 2009 European Conference on Circuit Theory and Design, Antalya, Turkey, 23–27 August 2009; pp. 470–475. [Google Scholar]
  30. Flayyih, W.N.; Samsudin, K.; Hashim, S.J.; Rokhani, F.Z.; Ismail, Y.I. Crosstalk-Aware Multiple Error Detection Scheme Based on Two-Dimensional Parities for Energy Efficient Network on Chip. IEEE Trans. Circuits Syst. I Regul. Pap. 2014, 61, 2034–2047. [Google Scholar] [CrossRef] [Green Version]
  31. Ganguly, A.; Pande, P.P.; Belzer, B. Crosstalk-Aware Channel Coding Schemes for Energy Efficient and Reliable NOC Interconnects. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2009, 17, 1626–1639. [Google Scholar] [CrossRef] [Green Version]
  32. Shirmohammadi, Z.; Miremadi, S.G. SDT-free: An efficient crosstalk avoidance coding mechanism considering inductance effects. In Proceedings of the 2017 7th International Conference on Computer and Knowledge Engineering (ICCKE), Mashhad, Iran, 26–27 October 2017; pp. 293–297. [Google Scholar]
  33. Rajagopal, S.; Vinodhini, M.; Murty, N.S. Multi-Bit Error Correction Coding with Crosstalk Avoidance Using Parity Sharing Technique for NoC. In Proceedings of the 2018 IEEE International Symposium on Smart Electronic Systems (iSES) (Formerly iNiS), Hyderabad, India, 17–19 December 2018; pp. 249–254. [Google Scholar]
  34. Pande, P.P.; Zhu, H.; Ganguly, A.; Grecu, C. Crosstalk-aware Energy Reduction in NoC Communication Fabrics. In Proceedings of the 2006 IEEE International SOC Conference, Austin, TX, USA, 24–27 September 2006; pp. 225–228. [Google Scholar]
  35. Soleimani, K.; Patooghy, A.; Soltani, N.; Bu, L.; Kinsy, M.A. Crosstalk Free Coding Systems to Protect NoC Channels against Crosstalk Faults. In Proceedings of the 2017 IEEE International Conference on Computer Design (ICCD), Boston, MA, USA, 5–8 November 2017; pp. 385–390. [Google Scholar]
  36. Xiao, M.; Tseng, T.M.; Schlichtmann, U. Crosstalk-Aware Automatic Topology Customization and Optimization for Wavelength-Routed Optical NoCs. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2022, 41, 5261–5274. [Google Scholar] [CrossRef]
  37. Grecu, C.; Ivanov, A.; Saleh, R.; Sogomonyan, E.S.; Partha Pratim, P. On-line fault detection and location for NoC interconnects. In Proceedings of the 12th IEEE International On-Line Testing Symposium (IOLTS’06), Lake Como, Italy, 10–12 July 2006; p. 6. [Google Scholar]
  38. Murali, S.; Theocharides, T.; Vijaykrishnan, N.; Irwin, M.J.; Benini, L.; Micheli, G.D. Analysis of error recovery schemes for networks on chips. IEEE Des. Test Comput. 2005, 22, 434–442. [Google Scholar] [CrossRef] [Green Version]
  39. Khezeli, M.R.; Moaiyeri, M.H.; Jalali, A. Analysis of Crosstalk Effects for Multiwalled Carbon Nanotube Bundle Interconnects in Ternary Logic and Comparison with Cu Interconnects. IEEE Trans. Nanotechnol. 2016, 16, 107–117. [Google Scholar] [CrossRef]
  40. Bertozzi, D.; Benini, L.; Micheli, G.D. Low power error resilient encoding for on-chip data buses. In Proceedings of the Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition, Paris, France, 4–8 March 2002; pp. 102–109. [Google Scholar]
  41. Shahriar, M.; Khalid, M.; Ahmed, A. Crosstalk Noise Modeling analysis for RC Interconnect in Deep Sub-Micron VLSI Circuit. Commun. Appl. Electron. 2017, 7, 33–38. [Google Scholar] [CrossRef]
  42. ZhangMing, Z.; Libo, Q.; YinTang, Y. A novel interconnect crosstalk RLC analytic model based on the nanometer CMOS technology. Acta Phys. Sin. 2009, 58, 2631. [Google Scholar] [CrossRef]
  43. Cao, Y.; Sato, T.; Orshansky, M.; Sylvester, D.; Hu, C. New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation. In Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044), Orlando, FL, USA, 24 May 2000; pp. 201–204. [Google Scholar]
  44. Wei, Z.; Yu, C. New generation of predictive technology model for sub-45nm design exploration. In Proceedings of the 7th International Symposium on Quality Electronic Design (ISQED’06), San Jose, CA, USA, 27–29 March 2006; pp. 585–590. [Google Scholar]
  45. Zhao, W.; Cao, Y. Predictive Technology Model for Nano-CMOS Design Exploration. In Proceedings of the 2006 1st International Conference on Nano-Networks and Workshops, Lausanne, Switzerland, 14–16 September 2006. [Google Scholar] [CrossRef]
Figure 1. A coupling interconnect model: (a) is the equivalent capacitance model; (b) is the dimensions of an interconnect.
Figure 1. A coupling interconnect model: (a) is the equivalent capacitance model; (b) is the dimensions of an interconnect.
Micromachines 14 00469 g001
Figure 2. Distributed three-wire RLC spice model.
Figure 2. Distributed three-wire RLC spice model.
Micromachines 14 00469 g002
Figure 3. The flowchart of RSHARQ.
Figure 3. The flowchart of RSHARQ.
Micromachines 14 00469 g003
Figure 4. Real three-wire model realization with INNOVUS: (a) is the circuit diagram; (b) is the standard cell logic diagram; (c) is the layout graph.
Figure 4. Real three-wire model realization with INNOVUS: (a) is the circuit diagram; (b) is the standard cell logic diagram; (c) is the layout graph.
Micromachines 14 00469 g004
Table 1. PTM 65 nm interconnect values.
Table 1. PTM 65 nm interconnect values.
Dimensions/µmMaterial
w = 0.14s = 0.14 ρ = 2.2 × 10 8 Ω / m
h = 0.20t = 0.35 ε r = 2.2
Table 2. TSMC 28 nm interconnect values.
Table 2. TSMC 28 nm interconnect values.
Dimensions/µmMaterial
w = 0.05s = 0.05 ρ = 4.02 × 10 8 Ω / m
h = 0.085t = 0.09 ε r 1 = 2.63 / 3.55
1 In 28 nm library, the ε rc of C c is 2.63 and the ε rg of C g is 3.55.
Table 3. Wire delay of 65 nm three-wire coupling model.
Table 3. Wire delay of 65 nm three-wire coupling model.
Signal Left Wire/psMid Wire/psRight Wire/ps
(-, -, -)- - -
(-, -, ↑)- - 76.17
(-, -, ↓)- - 76.17
(-, ↑, -)- 93.7-
(-, ↑, ↑)- 72.9556.97
(-, ↑, ↓)- 110.295.38
(-, ↓, -)- 93.7-
(-, ↓, ↑)- 110.295.38
(-, ↓, ↓)- 72.9556.97
(↑, -, -)76.17- -
(↑, -, ↑)73.43- 73.43
(↑, -, ↓)80.23- 80.23
(↑, ↑, -)56.9772.95-
(↑, ↑, ↑)53.9153.5653.91
(↑, ↑, ↓)60.529398.63
(↑, ↓, -)95.38110.2-
(↑, ↓, ↑)94.04122.494.04
(↑, ↓, ↓)98.639360.52
(↓, -, -)76.17- -
(↓, -, ↑)80.23- 80.23
(↓, -, ↓)73.43- 73.43
(↓, ↑, -)95.38110.2-
(↓, ↑, ↑)98.639360.52
(↓, ↑, ↓)94.04122.494.04
(↓, ↓, -)56.9772.95-
(↓, ↓, ↑)60.529398.63
(↓, ↓, ↓)53.9153.5653.91
(-, ↓, -)- 93.7-
(-, ↓, ↑)- 110.295.38
(-, ↓, ↓)- 72.9556.97
(↑, -, -)76.17- -
(↑, -, ↑)73.43- 73.43
(↑, -, ↓)80.23- 80.23
Table 4. SSTC SPICE simulation and bandwidth change on 65 nm node.
Table 4. SSTC SPICE simulation and bandwidth change on 65 nm node.
Original0.9 Spacing0.8 Spacing0.7 Spacing0.6 Spacing0.9 Length0.8 Length0.7 Length
Delay124.6 ps129.4 ps137.1 ps146.0 ps159.1 ps112.0 ps101.0 ps89.9 ps
G6 Delay 103.0 ps107.0 ps113.0 ps123.0 ps135.0 ps93.8 ps84.4 ps75.3 ps
G6. Freq3.21 GHz3.09 GHz2.92 GHz2.75 GHz2.51 GHz3.58 GHz3.96 GHz4.45 GHz
G5. Freq3.90 GHz3.74 GHz3.53 GHz3.27 GHz2.96 GHz4.27 GHz4.74 GHz5.31 GHz
B1 rate0.0000.0690.1360.2230.3030.0700.1360.223
B2 rate0.2160.2090.2090.1890.1790.1900.1970.193
Table 5. Wire delay spice and extraction results of SSTC on 28 nm nodes.
Table 5. Wire delay spice and extraction results of SSTC on 28 nm nodes.
Left Wire-S/psMid Wire-S/psRight Wire-S/psLeft Wire-R/psMid Wire-R/psRight Wire-R/ps
(↓, ↑, -)553679-531682-
(-, ↑, ↑)-679553-682531
(↑, ↓, -)519736-514701-
(-, ↓, ↑)-373519-701514
(↑, ↓, ↑)492909492487874496
(↓, ↑, ↓)535854535513857522
(↑, ↓, ↓)529529304524494282
(↓, ↓, ↑)304529529282494524
(↓, ↑, ↑)566489282544492277
(↑, ↑,↓)282489566277492544
(-, -, ↓)--386--364
(↓, -, -)386--364--
(-, -, ↑)--359--354
(↑, -, -)359--354--
( -, ↑, -)-489--492-
(-, ↓, -)-531--496-
(-, -, -)------
(↓, -, ↓)362-362340-340
(↑, -, ↑)331-331326-326
(↓, -, ↑)412-382390-377
(↑, -, ↓)382-412377-390
(-, ↑, ↑) 331257-334252
(↑, ↑, -)257331-252334-
(-, ↓, ↓)-359271-324249
(↓, ↓, -)271359-249324-
(↑, ↑, ↑)233233233228236228
(↓, ↓, ↓)256256256234221234
Table 6. SSTC SPICE simulation and bandwidth change on 28 nm node.
Table 6. SSTC SPICE simulation and bandwidth change on 28 nm node.
Original0.9 Spacing0.8 Spacing0.7 Spacing0.6 Spacing0.9 Length0.8 Length0.7 Length
Delay874946103611561316709560430
G6 Delay 7017528219091036566447338
G6. Freq0.4570.4230.3960.3460.3040.5640.7140.930
G5. Freq0.5700.5320.4870.4400.3860.7070.8951.183
B1 rate00.0260.0540.0800.1070.2330.5611.032
B2 rate0.2470.2580.2620.2700.2720.2520.2530.272
Table 7. Possibility of (20,16) CRC collision.
Table 7. Possibility of (20,16) CRC collision.
Bit Error Rate/%0.51.042344.555.21
Collision Possibility/‱0.11.96.51428.233.446.550.2
Table 8. Average sending times of different error rates.
Table 8. Average sending times of different error rates.
Bit Error Rate/%0.10.30.51.042344.5
Average Sending Times1.01781.0491.0861.1741.3411.5111.7041.803
Effective Throughput0.9830.9530.9210.8520.7460.6620.5870.555
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Weng, X.; Lin, X.; Liu, Y.; Xu, C.; Zhan, L.; Wang, S.; Chen, D.; Yang, Y. A Reliability System Evaluation Model of NoC Communication with Crosstalk Analysis from Backend to Frontend. Micromachines 2023, 14, 469. https://doi.org/10.3390/mi14020469

AMA Style

Weng X, Lin X, Liu Y, Xu C, Zhan L, Wang S, Chen D, Yang Y. A Reliability System Evaluation Model of NoC Communication with Crosstalk Analysis from Backend to Frontend. Micromachines. 2023; 14(2):469. https://doi.org/10.3390/mi14020469

Chicago/Turabian Style

Weng, Xiaodong, Xiaoling Lin, Yi Liu, Changqing Xu, Linjun Zhan, Shunyao Wang, Dongdong Chen, and Yintang Yang. 2023. "A Reliability System Evaluation Model of NoC Communication with Crosstalk Analysis from Backend to Frontend" Micromachines 14, no. 2: 469. https://doi.org/10.3390/mi14020469

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop