Next Article in Journal
Conceptual Piezoelectric-Based Energy Harvester from In Vivo Heartbeats’ Cyclic Kinetic Motion for Leadless Intracardiac Pacemakers
Previous Article in Journal
Compensation Techniques for Photosensors Used in High-Precision Accelerometers
Previous Article in Special Issue
Flexible Thermoelectric Device Based on Protrusion-Structured Liquid Metal Elastomer for Gravity Heat Pipe
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A Sub-0.01 °C Resolution All-CMOS Temperature Sensor with 0.43 °C/−0.38 °C Inaccuracy and 1.9 pJ · K2 Resolution FoM for IoT Applications

by
Yixiao Sun
1,2,
Jie Cheng
1,2,
Zhizhong Luo
1,2 and
Yanhan Zeng
1,2,*
1
School of Electronics and Communication Engineering, Guangzhou University, Guangzhou 510006, China
2
Key Lab of Si-based Information Materials & Devices and Integrated Circuits Design, Guangzhou University, Guangzhou 510006, China
*
Author to whom correspondence should be addressed.
Micromachines 2024, 15(9), 1132; https://doi.org/10.3390/mi15091132
Submission received: 30 July 2024 / Revised: 2 September 2024 / Accepted: 4 September 2024 / Published: 6 September 2024

Abstract

:
A high resolution, acceptable accuracy and low power consumption time-domain temperature sensor is proposed and simulated in this paper based on a 180 nm standard CMOS technology. A diode stacking structure is introduced to enhance the accuracy of the temperature sensing core. To improve the resolution of the sensor, a dual-input capacitor multiplexing voltage-to-time converter (VTC) is implemented. Additionally, a low-temperature drift voltage-mode relaxation oscillator (ROSC) is proposed, effectively reducing the large oscillation frequency drift caused by significant temperature impacts on delay errors. The simulated results show that the resolution is as high as 0.0071 °C over 0∼120 °C with +0.43 °C/−0.38 °C inaccuracy and 1.9 pJ · K2 resolution FoM, consuming only 1.48 μ W at a 1.2 V supply voltage.

1. Introduction

The Internet of Things (IoT) is rapidly expanding, incorporating smart wearables and intelligent household appliances that enhance daily convenience [1]. As a result, there is a growing demand for temperature sensors in these applications, necessitating features like low power consumption, compact size, high accuracy, and especially excellent resolution [2,3,4]. CMOS temperature sensors, which are preferred for their energy efficiency and ease of integration with other signal-processing units, effectively meet these requirements [5,6,7]. They support on-chip dynamic thermal management across various IoT devices, ensuring efficient heat dissipation and optimal performance.
CMOS temperature sensors typically require a high-quality frequency reference circuit to convert temperature-related information such as delay/frequency into digital codes [8]. Using an off-chip reference poses challenges in system integration and miniaturization while integrating an on-chip frequency reference can significantly reduce the overall size and power consumption of the sensor chip [9]. Therefore, a fully integrated reference circuit and clock generator are often considered essential in CMOS temperature sensors.
The existing classification of CMOS temperature sensors is based on the types of temperature-sensing devices used in CMOS processes, namely BJT-based, resistive-based, all-MOSFET-based, and TD-based [10]. For example, in a BJT-based front-end circuit, the temperature-related signal it generates is an analog voltage signal, specifically V B E and Δ V B E [11]. However, there are various ways to digitize this signal. For instance, the temperature-related voltage can be directly quantified using an analog-to-digital converter (ADC), or it can be first converted into a current, and then a current-mode ADC can be designed to quantify this current. Alternatively, the temperature-related voltage or current can be converted into delay, frequency, or other signals that can be directly processed by digital circuits. Based on the different methods of converting analog signals into digital signals, common CMOS temperature sensor architectures can be categorized into four domains: the voltage domain, current domain, frequency domain, and time domain.
Figure 1 displays the basic diagrams of the four typical temperature sensors reported above. It is important to note that the C L K r e f before FDC and TDC represents a temperature-independent clock.
As shown in Figure 1a, BJT-based voltage-domain temperature sensors are capable of high precision utilizing a SAR ADC or a Δ Σ ADC, enhanced by various calibration techniques [12]. The precision of these sensors is minimally affected by small variations in clock frequency, so they typically do not require a temperature-independent clock signal. However, voltage-domain CMOS temperature sensors are not limited to BJT-based temperature sensors. By integrating with other types of temperature-sensing devices and custom ADCs, different performance requirements can be met [13].
For example, in BJT-based temperature sensors, the current-domain solution modifies the analog front-end circuit to convert Δ V B E and V B E into temperature-related currents. These currents are then quantified using a current-domain Δ Σ modulator, as illustrated in Figure 1b [14]. Compared to direct voltage readout, current-domain readout reduces dependence on voltage swing and, in low-precision scenarios, it offers advantages such as smaller areas and lower voltage supply. Similar to the voltage-domain solution, if combined with different types of temperature-sensing devices, the current-domain temperature sensor can also achieve a wider range of performance options [15].
Time-domain CMOS temperature sensors mainly adopt two approaches. One approach outputs duty cycle information proportional to temperature, producing a duty cycle-modulated square wave (or PWM wave) that can be directly processed by digital circuits, simplifying the circuit interface [16]. The other type, shown in Figure 1d, directly converts temperature into temperature-related pulse signals or periodic time-domain signals, which are subsequently quantified using an all-digital TDC [17]. However, this approach requires an additional precise clock as a reference signal for the TDC to digitize the temperature-related time-domain signal. Compared to traditional voltage-domain or current-domain readouts, TDCs used in time-domain readouts are better suited to digital circuit implementation, allowing their area and power consumption to benefit from process node scaling.
As shown in Figure 1c, similar to the time-domain readout architecture, converting temperature into frequency signals is another viable approach. Like the time-domain method, the frequency-domain approach converts temperature into delay information; however, instead of directly quantifying the width of a single pulse, it measures the signal frequency generated by the sensor. This significantly reduces the need for a single delay duration, enabling a smaller area and faster temperature measurement, although the temperature measurement error is typically larger [18].
In this paper, a CMOS-based time-domain temperature sensor suitable for IoT applications is proposed. To improve accuracy in the temperature sensing core, a diode stacking structure is implemented, generating V P T A T and V C T A T with high-temperature coefficients (TCs). A dual-input capacitor multiplexing voltage-to-time converter is introduced to enhance resolution by fully utilizing both temperature-negative and temperature-positive correlated information. Furthermore, a low-temperature drift voltage-mode relaxation oscillator is proposed, effectively mitigating large oscillation frequency drift due to temperature-induced delay errors.
The remainder of this paper is organized as follows. Section 2 describes the system architecture and operation principle of the proposed temperature sensor. Section 3 shows the circuit implementation details of the three main parts. Section 4 demonstrates the simulation results with comparison to recently reported temperature sensors, while Section 5 concludes this paper.

2. Architecture and Operation Principle

Figure 2 shows the block diagram of the proposed temperature sensor. The structure consists of three major parts: (1) a temperature sensing core, (2) a voltage-to-time converter, and (3) a time-to-digital converter with a temperature-stabilized relaxation oscillator.
The proposed temperature sensing core serves the purpose of generating temperature-related signals with high TC ( V P T A T / V C T A T ) and reference signals ( I R E F / V R E F ). The relaxation oscillator generates the reference frequency ( f R E F ) using V R E F . The dual-input capacitor multiplexing voltage-to-time converter is proposed to convert V P T A T and V C T A T to temperature-dependent time quantity Δ t, as represented by the width of V p u l s e . Since Δ t is proportional to temperature, digitization is performed by converting Δ t to 15 bits of digital code via a proposed time-to-digital converter clocked by an on-chip relaxation oscillator. To ensure digitization is not influenced by temperature, the oscillator is designed to be temperature stable [19].
As shown in Figure 2, the capacitor is successively charged to V P T A T and V C T A T by I R E F [20]. During the time from when V i p charges to V C T A T until it charges to V P T A T , the logic circuit generates the time signal V p u l s e . As the temperature rises, the time required to charge to V C T A T shortens, while the time to charge to V P T A T lengthens. With the combination of high-TC C T A T and P T A T signals, the temperature-dependent time quantity Δ t is significantly extended with temperature, thus improving the resolution [21]. The change in the time signal V p u l s e as the temperature increases and decreases is shown in Figure 3.
To support the above point, assuming there are two temperature points T 1 and T 2 ( T 1 > T 2 ), the change in Δ t as the temperature increases can be represented as follows:
Δ t = Δ t ( T 1 ) Δ t ( T 2 ) = C k P T A T T 1 T 2 + k C T A T T 2 T 1 I R E F = C k P T A T k C T A T T 1 T 2 I R E F ,
where k C T A T and k P T A T are the absolute value of the TC of V C T A T and V P T A T , respectively, while C represents the capacitance in Figure 2.
As a supplement, in Equation (1), we assume that V C T A T and V P T A T exhibit ideal linear temperature characteristics, considering only first-order temperature dependency, with minimal influence from higher-order terms.

3. Circuit Implementation Details

This section provides a detailed overview of the three key components mentioned earlier, focusing on the innovative strategies used to enhance the core performance of the temperature sensor and highlighting the unique contributions of our work.

3.1. Temperature Sensing Core

The proposed temperature sensing core is shown in Figure 4. The current negative feedback of the amplifier is used to increase the output impedance so that the output current is weakly correlated with the supply voltage. V R E F is the reference voltage provided by the pre-stage reference circuit, with a resistor R composed of positive and negative temperature coefficient resistors, ensuring the output current is independent of temperature. The reference current and reference voltage in the initial part are applied in the implementation of P T A T and C T A T voltages, while the diode-stacked architecture achieves temperature-dependent voltages with larger temperature coefficients.
The schematic of the V R E F generator is shown in the yellow dotted box [22]. M 4 and M 5 operate in the subthreshold region, M 6 and M 7 operate in the linear triode region, and other transistors operate in the saturation region. M6 is used to reduce power consumption by reducing the static current of the branch. M 2 and M 3 are the same, so the current of M 7 is equal to the current of M 8 . Therefore,
μ C o x S 7 V R E F V T H 7 V D S 7 1 2 V D S 7 2 = 1 2 μ C o x S 8 V R E F V T H 8 2 ,
where μ is the carrier mobility and C o x is the gate-to-oxide capacitance, S is the width-to-length ratio W/L of the transistor, V T H is the threshold voltage of the transistor, and V D S is the drain-source voltage of the transistor.
In the same process, the threshold voltage of the MOS transistor is nearly equal under the same type, so V T H 7 V T H 8 ; therefore,
V R E F = V T H + η k T q ln ( S 5 S 4 ) S 7 S 8 + S 7 S 8 2 S 7 S 8 .
The relationship between threshold voltage and temperature is given by the following:
V T H ( T ) = V T H 0 d V T H d T T ,
where V T H 0 is the threshold voltage of the transistor at 0 K, and d V T H d T is the temperature coefficient of the threshold voltage. According to Equations (3) and (4), as long as
d V T H d T = η k q ln ( S 5 S 4 ) S 7 S 8 + S 7 S 8 2 S 7 S 8 ,
a reference voltage V R E F independent of temperature can be obtained, thereby further achieving the reference current.
The C T A T and P T A T voltage generators are biased through the I R E F and V R E F . It is noteworthy that all MOSFETs of the C T A T and P T A T voltage generator operate in the subthreshold region, as shown in the red dotted box and blue dotted box, respectively. The subthreshold leakage current of the MOS transistor is exponentially related to the gate and drain voltages. The I-V characteristics can be expressed as follows:
I D = μ C o x S ( η 1 ) V T 2 exp V G S V T H η V T 1 exp V D S V T ,
where η is the subthreshold slope factor, V G S is the gate-source voltage of the transistor, and V T is the thermal voltage ( V T = k T /q, where k is the Boltzmann constant, q is the electron charge, and T is the temperature).
For typical application temperature ranges, it holds that V D S ≫ 4 V T , and we can ignore the effect of V D S on the leakage current I D . Consequently, at this time, I D can be approximated as follows:
I D μ C o x S ( η 1 ) V T 2 exp V G S V T H η V T .
Therefore, the expression for V G S can be represented by the following equation:
V G S = V T H + η V T ln M ,
M = I D μ C o x S ( η 1 ) V T 2 ,
μ = μ 0 T T 0 m ,
where μ 0 is the mobility at T 0 and m is the mobility temperature exponent. The value of m is about 1.5 in standard CMOS technologies according to [23], so the temperature coefficient in the logarithmic term can be disregarded. By taking the partial derivative of Equation (8), the TC of V G S can be expressed as follows:
V G S T = V T H T + η k B q ln ( M ) .
Based on the fact that V T H T > η k B q ln ( M ) , it follows that V G S T < 0 , leading to a negative temperature coefficient (NTC) of V G S . Drawing from the earlier discussions, as illustrated in Figure 4, the utilization of the diode stacking structure serves to treble the TC of V G S and generate V C T A T :
V C T A T T = V G S C 2 T + V G S C 3 T + V G S C 4 T = V T H C 2 T + V T H C 3 T + V T H C 4 T + η k q ln ( M C 2 M C 3 M C 4 ) .
Furthermore, the V P T A T is formed using a principle similar to that of V C T A T :
V P T A T = V D S P 1 = V D D V S G P 2 V S G P 3 V S G P 4 .
Due to the NTC of V G S P 2 , V G S P 3 , and V G S P 4 , V P T A T exhibits a positive temperature coefficient (PTC). Additionally, the utilization of the diode stacking technique serves to treble the TC of V P T A T . The high TC voltages obtained above will be beneficial for improving the overall resolution.

3.2. Voltage-to-Time Converter

The logic circuit of the proposed dual-input capacitor multiplexing VTC is shown in Figure 5. The T I M E R signal is used for time-setting purposes, controlling switch S 2 and the XOR gate that, in turn, controls switch S 1 . It generates a rising edge at 12 ms, after V i p equals V C T A T , to ensure the capacitor is fully discharged and to prevent overlapping the first and second charging processes. The two TG gates, controlled by signals Q 0 and Q 0 , sequentially connect the inverting input of the comparator, V i n , to V C T A T and V P T A T . Switch S 3 is also controlled by Q 0 . The output signals Q 0 and Q 1 from the two D flip-flops are XORed to produce V o u t , which is then XORed again with the T I M E R signal to control switch S 1 . In the initial state, the D flip-flops are reset, setting both Q 0 and Q 1 to 0.
The operation process can be divided into four steps as shown in Figure 6.
(1) First charging: The C 0 is charged to V C T A T by I R E F . During this period, Q 0 is at a high level; thus, switch S 3 is turned off, and V C T A T is connected to the inverting input of the comparator, while V o u t remains at a low level.
(2) Discharging: When switch S 1 is closed, V i p is discharged to zero after the V i p surpasses V C T A T and V o u t rises. At this time, Q 0 reaches a high level, and V P T A T is connected to the inverting input of the comparator.
(3) Time slot: This period allows sufficient time to ensure that the capacitor can fully discharge at any temperature until the T I M E R signal appears, moving to the next stage.
(4) Second charging: The C 0 is charged to V P T A T by I R E F . During this time, switch S 2 is closed, while S 1 and S 3 are opened. When V i p surpasses V P T A T , Q 1 reaches a high level and V o u t goes low.
The points in time when V i p reaches V C T A T and V P T A T are denoted as t 1 and t 2 , respectively, which can be described as follows:
t 1 = C 0 V C T A T I R E F ,
t 2 = t T I M E R + C 0 V P T A T I R E F .
As shown in Figure 6, the period Δ t during which V o u t stays high lies exactly between t 1 and t 2 , which can be expressed as follows:
Δ t = t 2 t 1 = t T I M E R + C 0 V P T A T V C T A T I R E F .
As mentioned before, k C T A T and k P T A T are the absolute values of the TC of V C T A T and V P T A T . Equation (16) can be rewritten as follows:
Δ t = t T I M E R + C 0 k P T A T + k C T A T T I R E F + C o n s t .
According to Equation (17), by examining the coefficient of the temperature-related term in Δ t , it is evident that the proposed dual-input capacitor multiplexing VTC increases the variation in V o u t ’s high-level duration with temperature by fully leveraging the large temperature coefficients of both V C T A T and V P T A T generated by the temperature sensing core. As a result, since the reference frequency provided by the subsequent relaxation oscillator remains constant with temperature, the proposed VTC significantly enhances resolution.
The comparator in the proposed VTC is shown in Figure 7. The structure is modified from the traditional double-tail comparator by introducing a cross-coupled configuration to increase the latch’s regeneration speed under low-power constraints [24]. This modification significantly reduces the delay time and avoids unnecessary errors during the comparison of V i n and V i p in the VTC.
The comparator delay, t d e l a y , consists of two parts: t 0 and t l a t c h . The delay t 0 represents the charging time of the load capacitance C L o u t at the output nodes V o n and V o p of the latch stage. The delay t l a t c h represents the latch’s regeneration time, which is related to the initial output voltage difference Δ V 0 and the effective transconductance g m e f f of the latch. Specifically, the larger the Δ V 0 and g m e f f , the shorter the regeneration time.
For the proposed dynamic comparator based on a cross-coupled structure, two control transistors, M C 1 and M C 2 , are added to the pre-amplification stage in parallel with the M 5 / M 6 transistors but in a cross-coupled manner to increase the differential voltage Δ V f n / f p between f n and f p . This, in turn, increases the output voltage difference Δ V 0 at the start of latch regeneration. By adding two key transistors, M K 1 and M K 2 , to the latch stage, the effective transconductance of the intermediate stage transistors is increased. The cross-coupled structure causes one of the first-stage output nodes ( f n / f p ) to be charged back to V D D at the beginning of the decision phase, turning on one of the intermediate stage transistors and thereby increasing the latch’s effective transconductance g m e f f . In other words, positive feedback is enhanced [24].
In summary, the increases in Δ V 0 and g m e f f improve the latch regeneration speed, reducing the overall comparator delay t d e l a y , which perfectly meets the requirements of the proposed VTC.
Additionally, it is worth noting that the pre-amplification stage introduces NMOS switch transistors M s w 1 and M s w 2 , controlled by f n and f p , respectively. These transistors simulate the latch operation, minimizing static power consumption and ensuring the low power consumption of the comparator circuit.

3.3. Relaxation Oscillator

The conventional current-mode relaxation oscillator is shown in Figure 8. In this design, the current in the M 1 branch generates the voltage reference V r e f , which is replicated to the M 2 branch to charge the capacitor C. When the voltage V c on capacitor C exceeds V r e f across resistor R, the buffer generates a pulse that both switches the circuit to discharge the capacitor and feeds into the clock divider to produce the output clock. After a time τ , the transistor M S W is turned on, discharging the capacitor C and completing the oscillation cycle [25]. This current-mode relaxation oscillator eliminates the need for comparators, significantly reducing power consumption.
In summary, the output frequency of the relaxation oscillator is determined by the inverter delay and the charge/discharge time of the capacitor, which are in turn determined by the reference current I r e f . The oscillation period T R C is given by the following:
T R C = V r e f C I r e f + τ = R C + τ .
Using a D flip-flop for frequency division, the output frequency f C L K of the relaxation oscillator is as follows:
f C L K = 1 2 T R C = 1 2 ( R C + τ ) .
Typically, conventional current references have a nonlinear temperature coefficient, making it difficult to compensate for the temperature dependency of the output frequency. To achieve weak temperature dependence of frequency, traditional methods often use series and parallel combinations of resistors with positive and negative temperature coefficients [25]. However, the accuracy achieved by these methods is insufficient to meet the stringent requirements of temperature sensors, and it is generally challenging to achieve a frequency with a minimal temperature drift at higher oscillation frequencies.
Figure 9 shows the schematic of the proposed voltage-mode relaxation oscillator. The amplifier ensures that the source voltage of M 2 equals V R E F , which has a low-temperature dependency. This voltage, together with resistor R, sets the current in the M 2 branch, which is then mirrored to the M 3 branch. The resistor R is composed of two resistors, R a and R b : R a is an n-well resistor with a positive temperature coefficient, while R b is a poly resistor with a negative temperature coefficient. By adjusting the ratio of these two resistors, a temperature-independent equivalent resistance can be achieved, resulting in an output frequency with minimal temperature dependence.
In addition, the equation for the transmission delay of the inverter is as follows:
τ i n v = 0.69 C L R e q n + R e q p 2 .
R e q = 3 V D D 4 I 0 ( 1 7 9 λ V D D ) .
Therefore,
τ V D D C L I i n v .
R e q is the equivalent on-resistance of the inverter, C L is the load capacitance, I 0 is the speed saturation current of the inverter, and I i n v is the buffer bias current.
From Equation (18), the oscillation frequency depends on three parameters: R, C, and τ . Since R and C are independent of temperature, minimizing the variation in τ can improve the accuracy of the oscillation frequency. According to Equation (22), τ is inversely proportional to the bias current. Thus, by using a temperature-independent current source I R E F to bias the inverter, the oscillator period becomes independent of delay errors and is determined solely by the resistor and capacitor values. This design effectively reduces the impact of delay errors on the oscillation frequency, enhancing the overall stability and accuracy of the oscillator across varying temperatures.

3.4. Time-to-Digital Converter

The preceding stage of the TDC, known as a temperature-to-time converter, converts temperature into time. This converter outputs a pulse whose width is ideally linearly proportional to temperature, enabling the conversion of temperature to digital form by digitizing the pulse width. Since the proposed oscillator has a zero temperature coefficient, the temperature-to-digital relationship remains linear, which is crucial for accurate temperature measurement.
The block diagram of the TDC is shown in Figure 10. In this configuration, the output from the temperature-to-time converter and a clock signal from an on-chip relaxation oscillator are connected to an AND gate, allowing counting only during the pulse’s high period ( Δ t ) for precise timing measurements. To prevent overflow and ensure accurate counting across the entire temperature range of 0 °C to 120 °C, while accounting for potential offsets due to process variations, a 15-bit asynchronous counter is employed [26].

4. Simulation Results and Discussion

The proposed temperature sensor has been implemented using a 180 nm process. The most important parameters for a temperature sensor include resolution, accuracy, and power consumption. In the following sections, we will discuss how such excellent performance is achieved, with a particular focus on the principles behind the ultra-high resolution.
Temperature resolution refers to the smallest temperature change that a temperature sensor can detect, i.e., the smallest temperature variation that can cause a change in the least significant bit (LSB) of the digital output code. Regardless of the type of readout method used in a temperature sensor, the resolution is equal to the ratio of the temperature range to the number of digital codes used for quantization. For the time-domain temperature sensor proposed in this paper, the overall resolution is directly determined by the sensitivity of V o u t to temperature and the C L K R E F .
Firstly, the utilization of a diode stacking structure results in a high slope of 5.2 mV/°C and 4.44 mV/°C for V P T A T and V C T A T , respectively, as shown in Figure 11. Next, a dual-input capacitor multiplexing VTC is introduced, amplifying the effects of the high TC V P T A T and V C T A T , thereby increasing the sensitivity of V o u t to temperature.
It is also noteworthy that the self-designed relaxation oscillator achieved an oscillation frequency higher than 1.03 MHz, as shown in Figure 12. Ultimately, an excellent resolution of 0.0071 °C was achieved.
The temperature sensor’s accuracy is primarily dependent on the precision of the reference frequency and the stability of the temperature coefficients of V P T A T and V C T A T . Figure 12 presents the variation in f R E F , achieving a variation of as low as 0.19 %. The previously mentioned stability can be represented by the TC of V P T A T T and V C T A T T . Within the temperature range of 0∼120 °C, the simulated values for these coefficients are 113 ppm/°C and 105 ppm/°C, respectively.
Therefore, Figure 13 presents the simulated inaccuracy of the output temperature code within the target temperature detection range, considering different process corners and two-point calibration using 10 °C and 60 °C as temperature references. The absolute inaccuracy for the TT process corner is +0.43 °C/ 0.38 °C. This result indicates that the proposed design has a low susceptibility to process variations.
The diode stacking structure of the temperature sensing core, the optimization of the comparator circuit’s static power consumption in the VTC, and the design of a comparator-free relaxation oscillator have resulted in a low-power temperature sensor. The total power consumption of the sensor is measured at 1.48 μ W, with the relaxation oscillator accounting for a significant fraction of the total sensor power, specifically 962.7 nW. The power consumption of each part of the sensor is plotted in Figure 14.
The performance comparison of the proposed temperature sensor and several prior works is tabulated in Table 1. It must be stated that our results are based on simulations. Compared to other temperature sensors, the sensor proposed in this paper is featured with high accuracy and low power consumption, particularly excelling in resolution. The resolution of this sensor is better compared to sensors with the same readout structure.
It can be noted that the simulation is limited to the temperature range of 0∼120 °C to achieve the best performance in terms of inaccuracy and resolution. For a wider temperature range, the nonlinearity of the temperature sensing element becomes more significant, as the second-order derivative is always positive. It is scalable to a wider temperature range at the cost of resolution, depending on the application.

5. Conclusions

A CMOS-based temperature sensor with a time-domain readout is designed in a 180 nm CMOS process. It utilizes a reference current and voltage to bias the V P T A T and V C T A T generators, achieving high accuracy through a diode stacking structure that increases the TC of both V P T A T and V C T A T . Additionally, a dual-input capacitor multiplexing VTC is used to enhance resolution by fully utilizing both temperature-negative and temperature-positive correlated information. Moreover, a low-temperature drift voltage-mode relaxation oscillator is included to effectively address the issue of large oscillation frequency drift caused by a significant temperature impact on the delay error. The simulation results show that the proposed temperature sensor has a 0.0071 °C resolution, a 1.9 pJ · K2 resolution FoM, an acceptable inaccuracy of +0.43 °C/−0.38 °C, and a power consumption of only 1.48 μ W from 0 °C to 100 °C for 1.2 V supply after two-point calibration.

Author Contributions

Validation, writing—original draft preparation: Y.S.; software, visualization, data curation, conceptualization, methodology, writing—review and editing, project administration, funding acquisition: Y.Z.; data curation, visualization: J.C.; conceptualization, methodology: Z.L. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported in part by the Natural Science Foundation of Guangdong Province, China under Grant No. 2023A1515012900, in part by the Science and Technology Project of Guangzhou under Grant No. 2024A03J0403, in part by the Special Projects in Key Fields of Guangdong Education Department under Grant No. 2022ZDZX1019, in part by the National College Students Innovation and Entrepreneurship Training Program under Grant No. 202311078008, and in part by the Special Fund Project for Science and Technology Innovation Strategy of Guangdong Province under Grant No. pdjh2024b301.

Data Availability Statement

Data will be made available on request.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Jain, A.; Jiang, H.; Pochet, C.; Hall, D.A. A 310 nW Temperature Sensor Achieving 9.8 mK Resolution Using a DFLL-Based Readout Circuit. IEEE Trans. Circuits Syst. II Express Briefs 2022, 69, 704–708. [Google Scholar] [CrossRef]
  2. Shen, Y.; Struijk, M.V.D.; Pelzers, K.; Li, H.; Cantatore, E.; Harpe, P. A 2.74 pJ/conversion 0.0018 mm2 Temperature Sensor with On-chip Gain and Offset Correction. In Proceedings of the ESSCIRC 2022-IEEE 48th European Solid State Circuits Conference (ESSCIRC), Milan, Italy, 19–22 September 2022; pp. 181–184. [Google Scholar] [CrossRef]
  3. Tang, Z.; Tan, N.N.; Shi, Z.; Yu, X.-P. A 1.2 V Self-Referenced Temperature Sensor with a Time-Domain Readout and a Two-Step Improvement on Output Dynamic Range. IEEE Sensors J. 2018, 18, 1849–1858. [Google Scholar] [CrossRef]
  4. Someya, T.; van Hoek, V.; Angevare, J.; Pan, S.; Makinwa, K. A 210 nW NPN-Based Temperature Sensor with an Inaccuracy of ±0.15 °C (3σ) From −15 °C to 85 °C Utilizing Dual-Mode Frontend. IEEE Solid-State Circuits Lett. 2022, 5, 272–275. [Google Scholar] [CrossRef]
  5. Sangalang, R.G.B.; Luo, S.-H.; Wang, C.-C. A High Resolution And Wide Range Temperature Detector Using 180-nm CMOS Process. In Proceedings of the 2023 International Conference on IC Design and Technology (ICICDT), Tokyo, Japan, 25–28 September 2023; pp. 64–67. [Google Scholar] [CrossRef]
  6. Law, M.K.; Bermak, A.; Luong, H.C. A Sub-μ W Embedded CMOS Temperature Sensor for RFID Food Monitoring Application. IEEE J. Solid-State Circuits 2010, 45, 1246–1255. [Google Scholar] [CrossRef]
  7. Someya, T.; Islam, A.K.M.M.; Sakurai, T.; Takamiya, M. An 11-nW CMOS Temperature-to-Digital Converter Utilizing Sub-Threshold Current at Sub-Thermal Drain Voltage. IEEE J. Solid-State Circuits 2019, 54, 613–622. [Google Scholar] [CrossRef]
  8. Chen, Y.; Jiao, Z.; Guan, W.; Sun, Q.; Wang, X.; Zhang, R.; Zhang, H. A +0.66/−0.73 °C Inaccuracy, 1.99-μW Time-Domain CMOS Temperature Sensor With Second-Order ΔΣ Modulator and on-Chip Reference Clock. IEEE Trans. Circuits Syst. I Regul. Pap. 2020, 67, 3815–3827. [Google Scholar] [CrossRef]
  9. Zhao, W.; Pan, R.; Ha, Y.; Yang, Z. A 0.4 V 280-nW frequency reference-less nearly all-digital hybrid domain temperature sensor. In Proceedings of the 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC), KaoHsiung, Taiwan, 10–12 November 2014; pp. 301–304. [Google Scholar] [CrossRef]
  10. Makinwa, K. Smart Temperature Sensor Survey. Available online: http://ei.ewi.tudelft.nl/docs/TSensor_survey.xls (accessed on 7 May 2024).
  11. Pertijs, M.A.; Huijsing, J. Precision Temperature Sensors in CMOS Technology; Springer Science & Business Media: Berlin/Heidelberg, Germany, 2006. [Google Scholar]
  12. Souri, K.; Chae, Y.; Makinwa, K.A.A. A CMOS Temperature Sensor with a Voltage-Calibrated Inaccuracy of ±0.15 °C (3σ) from −55 °C to 125 °C. IEEE J. Solid-State Circuits 2013, 48, 292–301. [Google Scholar] [CrossRef]
  13. Xin, H.; Andraud, M.; Baltus, P.; Cantatore, E.; Harpe, P. A 174 pW–488.3 nW 1 S/s–100 kS/s All-Dynamic Resistive Temperature Sensor With Speed/Resolution/Resistance Adaptability. IEEE Solid-State Circuits Lett. 2018, 1, 70–73. [Google Scholar] [CrossRef]
  14. Pertijs, M.A.P.; Makinwa, K.A.A.; Huijsing, J.H. A CMOS smart temperature sensor with a 3σ inaccuracy of ±0.1 °C from −55 °C to 125 °C. IEEE J. Solid-State Circuits 2005, 40, 2805–2815. [Google Scholar] [CrossRef]
  15. Souri, K.; Chae, Y.; Thus, F.; Makinwa, K. 12.7 A 0.85 V 600 nW all-CMOS temperature sensor with an inaccuracy of ±0.4 °C (3σ) from −40 to 125 °C. In Proceedings of the 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, USA, 9–13 February 2014; pp. 222–223. [Google Scholar] [CrossRef]
  16. Wang, G.; Heidari, A.; Makinwa, K.A.A.; Meijer, G.C.M. An Accurate BJT-Based CMOS Temperature Sensor with Duty-Cycle-Modulated Output. IEEE Trans. Ind. Electron. 2017, 64, 1572–1580. [Google Scholar] [CrossRef]
  17. Chen, P.; Chen, C.C.; Tsai, C.C.; Lu, W.F. A time-to-digital-converter-based CMOS smart temperature sensor. IEEE J. Solid-State Circuits 2005, 40, 1642–1648. [Google Scholar] [CrossRef]
  18. Hwang, S.; Koo, J.; Kim, K.; Lee, H.; Kim, C. A 0.008 mm2 500 μW 469 kS/s Frequency-to-Digital Converter Based CMOS Temperature Sensor with Process Variation Compensation. IEEE Trans. Circuits Syst. I Regul. Pap. 2013, 60, 2241–2248. [Google Scholar] [CrossRef]
  19. Kim, J.; Kim, J.; Park, C.; Yang, M.; Jung, W. A Wide Range, Energy-Efficient Temperature Sensor Based on Direct Temperature–Voltage Comparison. IEEE Solid-State Circuits Lett. 2023, 6, 113–116. [Google Scholar] [CrossRef]
  20. Zeng, Y.; Cheng, J.; Chen, J.; Huang, W. A +0.35 °C/−0.22 °C Inaccuracy CMOS-Based Temperature Sensor for IoT Applications. In Proceedings of the 2023 IEEE 66th International Midwest Symposium on Circuits and Systems (MWSCAS), Tempe, AZ, USA, 6–9 August 2023; pp. 157–161. [Google Scholar] [CrossRef]
  21. Chen, P.; Hu, Y.-J.; Liou, J.-C.; Ren, B.-C. A 486k S/s CMOS time-domain smart temperature sensor with −0.85 °C/0.78 °C voltage-calibrated error. In Proceedings of the 2015 IEEE International Symposium on Circuits and Systems (ISCAS), Lisbon, Portugal, 24–27 May 2015; pp. 2109–2112. [Google Scholar] [CrossRef]
  22. Cao, F.; Jiang, M.; Zhang, Z. A low-temperature drift current-mode relaxation oscillator with feed-forward period control. In Proceedings of the 2023 8th International Conference on Integrated Circuits and Microsystems (ICICM), Nanjing, China, 20–23 October 2023; pp. 150–154. [Google Scholar] [CrossRef]
  23. Mohammed, M.; Abugharbieh, K.; Abdelfattah, M.; Kawar, S. Design methodology for MOSFET-based voltage reference circuits implemented in 28nm CMOS technology. AEU-Int. J. Electron. Commun. 2016, 70, 568–577. [Google Scholar] [CrossRef]
  24. Babayan-Mashhadi, S.; Lotfi, R. Analysis and Design of a Low-Voltage Low-Power Double-Tail Comparator. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2014, 22, 343–352. [Google Scholar] [CrossRef]
  25. Chiang, Y.-H.; Liu, S.-I. A Submicrowatt 1.1-MHz CMOS Relaxation Oscillator With Temperature Compensation. IEEE Trans. Circuits Syst. II Express Briefs 2013, 60, 837–841. [Google Scholar] [CrossRef]
  26. Wang, X.; Wang, P.-H.P.; Cao, Y.; Mercier, P.P. A 0.6 V 75 nW All-CMOS Temperature Sensor With 1.67 m °C/mV Supply Sensitivity. IEEE Trans. Circuits Syst. I Regul. Pap. 2017, 64, 2274–2283. [Google Scholar] [CrossRef]
  27. Park, J.-H.; Hwang, J.-H.; Shin, C.; Kim, S.-J. A BJT-Based Temperature-to-Frequency Converter With ±1 °C (3σ) Inaccuracy From −40 °C to 140 °C for On-Chip Thermal Monitoring. IEEE J. Solid-State Circuits 2022, 57, 2909–2918. [Google Scholar] [CrossRef]
  28. Li, J.; Lin, Y.; Ning, N.; Yu, Q. A +0.44 °C/−0.4 °C Inaccuracy Temperature Sensor With Multi-Threshold MOSFET-Based Sensing Element and CMOS Thyristor-Based VCO. IEEE Trans. Circuits Syst. I Regul. Pap. 2021, 68, 1102–1113. [Google Scholar] [CrossRef]
  29. Kim, J.; Lee, S.; Lee, M. A 0.9 V Self-Referenced Resistor-Based Temperature Sensor With −0.62/+0.81 °C (3σ) Inaccuracy. IEEE Trans. Circuits Syst. II Express Briefs 2023, 70, 4319–4323. [Google Scholar] [CrossRef]
  30. Wei, R.; Bao, X. A low power energy-efficient precision CMOS temperature sensor. Micromachines 2018, 9, 257. [Google Scholar] [CrossRef] [PubMed]
Figure 1. Operation principle of four typical temperature sensors.
Figure 1. Operation principle of four typical temperature sensors.
Micromachines 15 01132 g001
Figure 2. The structural diagram of the proposed temperature sensor.
Figure 2. The structural diagram of the proposed temperature sensor.
Micromachines 15 01132 g002
Figure 3. The time signal V p u l s e as temperature increases and decreases.
Figure 3. The time signal V p u l s e as temperature increases and decreases.
Micromachines 15 01132 g003
Figure 4. The schematic of the proposed temperature sensing core.
Figure 4. The schematic of the proposed temperature sensing core.
Micromachines 15 01132 g004
Figure 5. The schematic of the proposed VTC.
Figure 5. The schematic of the proposed VTC.
Micromachines 15 01132 g005
Figure 6. The change in signals V i p and V o u t over time.
Figure 6. The change in signals V i p and V o u t over time.
Micromachines 15 01132 g006
Figure 7. The comparator in the proposed VTC.
Figure 7. The comparator in the proposed VTC.
Micromachines 15 01132 g007
Figure 8. The schematic of a conventional ROSC.
Figure 8. The schematic of a conventional ROSC.
Micromachines 15 01132 g008
Figure 9. The schematic of the proposed ROSC.
Figure 9. The schematic of the proposed ROSC.
Micromachines 15 01132 g009
Figure 10. The schematic of the aforementioned TDC.
Figure 10. The schematic of the aforementioned TDC.
Micromachines 15 01132 g010
Figure 11. The V P T A T and V C T A T generated by the temperature sensing core.
Figure 11. The V P T A T and V C T A T generated by the temperature sensing core.
Micromachines 15 01132 g011
Figure 12. The reference frequency generated by the relaxation oscillator.
Figure 12. The reference frequency generated by the relaxation oscillator.
Micromachines 15 01132 g012
Figure 13. The simulated temperature error for different process corners.
Figure 13. The simulated temperature error for different process corners.
Micromachines 15 01132 g013
Figure 14. Power dissipation of the proposed temperature sensor.
Figure 14. Power dissipation of the proposed temperature sensor.
Micromachines 15 01132 g014
Table 1. Performance comparison with other works.
Table 1. Performance comparison with other works.
PaperJSSC [27]TCAS-I [8]TCAS-I [28]TCAS-II [1]TCAS-II [29]MDPI [30]This Work
Year2022202020212022202320182024
Technology (nm)1101801306528180180
TypeBJTMOSFETMOSFETResResBJTMOSFET
Supply Voltage (V)1.35∼21.80.950.90.91.81.2
Temperature Range (°C)−40∼140−20∼800∼80−5∼95−40∼1000∼1000∼120
Resolution (°C)0.1440.10.10.00980.05650.010.0071
Conversion Time (ms)0.82559100.4043.426
Inaccuracy (°C)+1/−1+0.66/−0.73+0.44/−0.4+1.8/−1.6+0.81/−0.62+0.2/−0.2+0.43/−0.38
Relative Inaccuracy 1 (%)1.111.391.053.41.020.40.675
Power 2 ( μ W)3.11.990.1960.31123.511.21.48
Energy/Conversion (nJ)2.4849.7511.563.149.93838.39
Resolution FOM 3 (nJ · K2)0.05140.49750.11560.0002970.1590.00380.0019
1 Relative Inaccuracy (%) = Max error/Temperature range × 100. 2 Power or energy for generating external references not include. 3 Resolution FOM (nJ · K2) = Energy/Conversion × (Resolution)2.
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Sun, Y.; Cheng, J.; Luo, Z.; Zeng, Y. A Sub-0.01 °C Resolution All-CMOS Temperature Sensor with 0.43 °C/−0.38 °C Inaccuracy and 1.9 pJ · K2 Resolution FoM for IoT Applications. Micromachines 2024, 15, 1132. https://doi.org/10.3390/mi15091132

AMA Style

Sun Y, Cheng J, Luo Z, Zeng Y. A Sub-0.01 °C Resolution All-CMOS Temperature Sensor with 0.43 °C/−0.38 °C Inaccuracy and 1.9 pJ · K2 Resolution FoM for IoT Applications. Micromachines. 2024; 15(9):1132. https://doi.org/10.3390/mi15091132

Chicago/Turabian Style

Sun, Yixiao, Jie Cheng, Zhizhong Luo, and Yanhan Zeng. 2024. "A Sub-0.01 °C Resolution All-CMOS Temperature Sensor with 0.43 °C/−0.38 °C Inaccuracy and 1.9 pJ · K2 Resolution FoM for IoT Applications" Micromachines 15, no. 9: 1132. https://doi.org/10.3390/mi15091132

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop