Next Article in Journal
Stability Analysis of the Output Speed in a Hydraulic System Powered by an Inverter-Fed Motor
Next Article in Special Issue
Influence of Glyceryl Monostearate Adsorption on the Lubrication Behavior of a Slider Bearing
Previous Article in Journal / Special Issue
Physics-Informed Neural Network (PINN) for Solving Frictional Contact Temperature and Inversely Evaluating Relevant Input Parameters
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Experimental Strategies for Studying Tribo-Electrochemical Aspects of Chemical–Mechanical Planarization

by
Kassapa Gamagedara
and
Dipankar Roy
*
Physics Department, Clarkson University, Potsdam, NY 13699, USA
*
Author to whom correspondence should be addressed.
Lubricants 2024, 12(2), 63; https://doi.org/10.3390/lubricants12020063
Submission received: 30 November 2023 / Revised: 11 February 2024 / Accepted: 15 February 2024 / Published: 19 February 2024

Abstract

:
Chemical–mechanical planarization (CMP) is used to smoothen the topographies of a rough surface by combining several functions of tribology (friction, lubrication), chemistry, and electrochemistry (corrosion, wear, tribo-corrosion). The surface layer of interest is structurally weakened by the chemical and/or electrochemical reactions of selected additives in a polishing slurry, and the modified surface is flattened by the abrasion of a polishing pad with or without abrasive particles. The chemically active CMP slurry also serves as a lubricant for polishing and enables planarization at a microscopic level while avoiding the formation of defects at the processed surface. Applications of CMP are wide-ranging in various material-processing technologies and, specifically, it is a critical manufacturing step of integrated circuits. The CMP of metals is a significant part of this processing scheme and is associated with highly complex tribo-electrochemical mechanisms that are now additionally challenging due to various new requirements of the advanced technology nodes. The present review examines the current statuses of experimental strategies for collecting important mechanistic details of metal CMP that are necessary to design and assess CMP consumables. Both traditional and underexplored experimental techniques are discussed with illustrative results, including many previously unpublished findings for certain CMP systems of current interest.

1. Introduction

Chemical–mechanical planarization (CMP) is an indispensable part of integrated circuit (IC) fabrication [1,2,3,4]. CMP employs the surface modification of the workpiece (wafer) by chemical and electrochemical reactions, while simultaneously operated mechanical abrasion planarizes the uneven topographies of the modified surface. The mechanical component of CMP is enabled by a polishing pad accompanied by colloidal abrasives dispersed in an aqueous polishing slurry. The chemical action of CMP is delivered by various additives, such as oxidizers, complexing agents, pH adjusters, and dissolution inhibitors, included in the slurry. The underlying wear mechanism of material removal is largely governed by the slurry’s chemical reactivity, and the slurry also acts as a lubricant at the pad–wafer interface [5,6,7]. The resulting CMP process, frequently characterized as chemical boundary lubrication [8], contains several facets of tribology and tribo-chemistry, including friction/abrasion, hydrodynamic lubrication, and tribo-corrosion.
The present review is centered on certain essential aspects of designing and evaluating compositions of CMP slurries with a specific focus on metal/alloy CMP. The integration of metals via CMP is necessary at the front-end-of-line (FEOL), middle-of-line (MOL), and back-end-of-line (BEOL) stages of IC fabrication. Transistors are assembled in the FEOL, and interconnects are formed in the BEOL. The transistors and interconnects are joined in the MOL. The BEOL process involves copper metallization with the integration of diffusion barrier materials that are based on metals like tantalum, titanium, and more recently, ruthenium, cobalt, and molybdenum. Metal contacts using tungsten or cobalt are processed in the construction of the MOL. The construction of the FEOL requires the processing of aluminum replacement gate layers in the gate-last high-k metal-gate-processing scheme.
The drastic scaling (to reach ~3 nm) of device structures has introduced many complexities into the IC fabrication process, and metal CMP is a major part of it. Several new challenges currently exist in the field of metal CMP, as the advanced process nodes have introduced additional layers with Cu lines of significantly reduced widths and increased lengths. Understanding the mechanistic details of metal CMP, especially those involving unconventional materials of current interest, is central to further developments of advanced polishing slurries that can meet the new technical challenges. Experimental investigations of the tribo-electrochemical aspects of metal CMP using model CMP systems in a laboratory setting can significantly aid the efforts of slurry engineering in a cost-effective manner [9]. The present report reviews the statuses of these experiments, including their phenomenological foundations, instrumental requirements, and data analysis protocols.
The literature relating CMP and electrochemistry is found in two separate groups depending on (1) whether electrochemistry is used to regulate the material removal process in CMP, or (2) the electrochemical response of a CMP system is used to analyze the tribo-electrochemical mechanisms of a CMP process. The first case refers to the technique of electrochemical–mechanical planarization (ECMP), in which activated electrochemical reactions are combined with mechanical abrasion to regulate the material removal [10,11]. The essential elements of ECMP have been reviewed by previous authors [12,13] and will not be considered here. The central focus of the present review is on the analytical aspects of tribology-coupled electrochemical techniques for the quantitative evaluation of metal CMP systems.
Currently, the literature on in situ electrochemical measurements incorporating CMP-specific tribology is relatively scarce. Reports of such measurements involving CMP-specific sample configurations are even fewer. This review focuses mostly on tribology-coupled electrochemical studies of CMP systems. The discussions center on model systems that mimic several features of an actual CMP interface and explore the main experimental (and some theoretical) considerations of (electro)chemically supported boundary lubrication systems that are specific to CMP. The organization of the subsequent sections is noted below.
The tribo-electrochemical basis of metal CMP is briefly noted in Section 2. Section 3 discusses experimental strategies for combining tribology with general electrochemical measurements using model CMP interfaces. Certain phenomenological considerations for analyzing CMP-related tribo-electrochemistry are described in Section 4. Illustrative results of tribo-electrochemical measurements probing various metal CMP systems are presented in Section 5, Section 6 and Section 7. The experimental techniques discussed in this context include open-circuit-potential (OCP) transients, voltammetry, electrochemical impedance spectroscopy (EIS), chronoamperometry, and chronopotentiometry. The discussions are structured according to specific applications of these measurements in the milieu of studying metal CMP mechanisms. Section 8 briefly summarizes the main topical considerations of this review.

2. Tribo-Electrochemistry of CMP

2.1. Corrosion-like Features of CMP-Enabling Reactions

Figure 1 schematically illustrates a commonly used strategy of metal CMP in the case of Cu planarization for BEOL processing. The initial surface topography (A) of the electrodeposited Cu (lines and vias of an interconnect) is uneven. The surface region of this copper is reacted with oxidizers, complexing agents, and corrosion inhibitors in the slurry to form a readily removable composite film that is mechanically “softer” than the metal (B). This surface film is typically composed of oxides and hydroxides, mixed with one or more organic and/or inorganic complexes of the metal. It is chemically passive in most cases of metal CMP [14,15,16], and weak or poor solubility is preferred to avoid dishing/erosion from the uncontrolled dissolution of the Cu. The abrasion of a polishing pad with particles removes this surface film (C). Polishing starts at the peaks of the surface topography, while the film deposited in recessed regions protects the metal from dissolution. As bare metal is exposed by polishing, and the CMP-specific surface film is regenerated and subsequently removed. The repeated formation and removal/flattening of the chemically modified surface layers through these recurrent steps lead to planarization (D). Illustrative examples of theoretical models accounting for this cyclic process of surface passivation and activation can be found in References [17,18,19].
The role of tribo-corrosion and tribo-electrochemistry in the CMP process can be illustrated with a typical example of Cu planarization using H2O2, oxalic acid, and benzotriazole (BTA) as the oxidizer, surface complexer, and dissolution inhibitor, respectively. The oxidizer in the slurry is cathodically reduced as follows:
H2O2 + 2e = 2OH
while the electrons required for this reaction are provided at the system’s OCP by a simultaneous anodic step of Cu hydroxide formation [20]: Cu + 2OH = Cu(OH)2 + 2e. The resulting mixed reaction is as follows:
Cu + H2O2 = Cu(OH)2
where the ensuing Cu(OH)2 species makes a chemically passive surface film. In the presence of oxalic acid, this film becomes porous and structurally weaker by partial dissolution:
Cu ( OH ) 2 + 2 O x 2 = Cu ( Ox ) 2 2 + 2 O H
where Ox     C 2 O 4 . Reaction (3) does not involve a significant level of material dissolution due to the relatively low solubility of Cu oxalate [21]. While dissolution is generally associated with the traditional definition of corrosion, reaction (3) [along with its precursor reactions (1) and (2)] is characterized as corrosion in the CMP context because this reaction introduces surface wear to support material removal.
BTA (deprotonated as BTA) in an alkaline medium supports a mixed reaction: Cu + BT A + ( 1 / 2 ) H 2 O 2 = CuBTA + O H , which results from the anodic step, Cu + BTA = CuBTA + e, coupled with reaction (1). The resulting CuBTA complex introduces an additional surface-passivating component of the CMP-enabling composite surface film and serves as a primary dissolution inhibitor for the CMP surface.
Investigations of corrosion-like mixed-potential reactions commonly involve systematic analyses of the potentiodynamic polarization (PDP) data for a specific electrode current (I) or current density ( i ) as a function of the electrode polarization potentials (E) in the form of Tafel or Evans plots. Tafel extrapolations of these experimentally obtained plots provide equilibrium corrosion parameters, like the corrosion potential (Ecorr), corrosion current density (icorr), anodic and cathodic Tafel slopes (ba and bc, respectively), and polarization resistance (Rp), of the experimental system. The schematic polarization plots in Figure 2 illustrate the mechanistic basis of this formalism referring to a generic mixed-potential system. This binary system is composed of two redox reactions, A and C, characterized by reversible Nernst potentials, Era and Erc, respectively. The corresponding exchange currents are Ira and Irc. The individual Tafel plots for the two redox reactions are generated by plotting the E as a function of log (Ia) and log (Ic), where Ia and Ic denote the anodic and cathodic components of the I, respectively.
Because the mixed potential (Ecorr) is at a value lower than that of the Nernst potential (Erc) for reaction C, the entire anodic branch and part of the cathodic branch of C in the potential region above the Ecorr remain inactive for the mixed system. Similarly, the full cathodic branch and part of the anodic branch of the Ia do not operate, as these currents require activation potentials more cathodic of the Era, while the Ecorr stays more anodic of the Era. The experimentally detectable Tafel plot for the mixed reaction is formed by the current branches highlighted in yellow in Figure 2. The anodic and cathodic current branches of the mixed reaction of a CMP process typically respond selectively to changes in the chemical and mechanical process variables, like the slurry composition, abrasive type, pad properties, and other polish parameters. The changes manifested in the values of the Ecorr and Icorr under these conditions can be correlated to the material parameters embedded in the definitions of these corrosion parameters.

2.2. Roles of Tribology and Slurry Chemistry

Tribology affects the underlying corrosion-like mechanism of metal CMP through repeated mechanical renewals of the metal surface and by providing mechano-electrochemical boosts to the surface reactions [22]. The extent of this tribo-corrosion and, hence, that of the resulting material removal can be controlled by controlling the mechanical variables of the CMP as well as the slurry’s chemical composition. Various tribological aspects of CMP have been previously reviewed in considerable detail [1,23,24,25,26,27,28,29,30]. In the following, we briefly note certain essential subtopics of this subject that are closely linked to the present context of CMP-related tribo-electrochemistry. The main response signals of a CMP system detected in these measurements are the electrochemical parameters, while the interfacial processes activating the system’s response are governed by the consumable additives and the mechanical controls of the polisher.

2.2.1. Roles of Down Pressure and Sliding Velocity

In the contact mechanics formalism, the main mechanical control variables that dictate the material removal rates (MRRs) in the CMP are the down pressure (P) of the planarization and the velocity (V) maintained between the polishing pad and the wafer. This description is based on the Preston equation, MRR = KpPV, where Kp is the Preston coefficient [1,31]. The values of the P and V can be independently controlled within certain upper and lower limits to meet various system-specific criteria of the CMP [32,33,34]. While using a selected value of the V, a threshold value (P0) of the down pressure is generally required to reach the minimum detectable limit of the MRR. This observation is incorporated into the following modification of the Preston equation [35,36,37]:
M R R = K p ( P P 0 ) V
which often includes another constant MRR term on the right-hand side to account for chemical dissolution [31,36]. Zhao and Shi have described the P0 as the minimum down pressure necessary to change the rolling friction of abrasive particles to sliding friction [38]. This attribution is aligned with the broadly accepted notion that sliding friction plays a leading role in material removal for CMP [39,40].
The application of the Preston equation to CMP is largely empirical and system-dependent. Several modifications of the original Preston’s law have been proposed to account for nonlinear variations in MRRs with respect to the values of the V and P [23,25]. Values of Preston coefficients for various CMP systems have been reported, which depend on the pressure and velocity of the polishing. Under typical CMP conditions, these values have been measured as ~10−7 MPa−1 for metals like Cu, and in the range of ~10−6–10−8 MPa−1 for oxides [36,41]. Hashimoto et al. have recently reported spatially distributed Preston coefficients for CMP systems [42].
The role of wear in material removal for CMP can be noted in the framework of the Archard wear equation. The latter is essentially an equivalent formulation of the Preston equation, and it expresses the wear volume ( V m ) generated by applying sliding friction to the surface of a material of hardness (H) (in Nm−2): V m = K a H 1 F n L , where L is the sliding length, F n is the normal downforce applied, and K a is the Archard wear coefficient. Following Wallburg et al.’s approach [37], the consideration of a threshold force can be included in the Archard equation as follows:
V m   = K a H 1 A f ( P   P 0 ) L
where Af is the contact area of friction; P = Fn/Af; and (P0 Af) is the threshold force necessary to generate a measurable volume of wear debris.
If the wear volume is generated in an interval (Δt), then both sides of Equation (5) can be divided by (Af Δt) to obtain the following:
M R R = ( K a H 1 ) ( P   P 0 ) V
where M R R = [ V m / ( A f Δ t ) ] . The term ( K a H 1 ) represents the specific wear coefficient (in Pa−1), often referred to as the specific wear rate (SWR). The SWR is generally expressed in m3N−1 m−1 as a measure of the wear volume generated per unit of frictional energy. The equivalence between the Preston equation and Archard equation is evident in the mutually similar forms of Equations (4) and (6), with the SWR having the same meaning as that of the Preston coefficient [37]. In the CMP context, Johnson and Roy have utilized this equivalence between the two formalisms to determine the SWR values for a Co-Cu CMP system [43].

2.2.2. Controlling Down Pressure and Frictional Velocity for CMP

The values of the P and V can be independently controlled by adjusting the angular speeds ( Ω p and Ω h ) of the platen and sample holder, respectively. These control variables are indicated in Figure 3A, showing a commonly considered schematic of a platen–sample assembly of a multi-sample rotary polisher tool [44,45,46]. The vectors r 0 , r 1 , and r 2 are coplanar; r 0 locates the sample-holder’s center with respect to the platen center; r 1 and r 2 denote the position of an arbitrary point (“s”) on the CMP sample’s surface with respect to the centers of the sample holder and the platen, respectively. The velocity vector ( V ) for point “s” relative to the platen can be calculated as follows [43,46]:
V   = r 1   ×   ( Ω p Ω h )   + ( r 0   ×   Ω p )
where the difference ( Ω p Ω h ) is critical for maintaining a uniform polishing velocity across the wafer sample [45,47].
If one sets Ω p = Ω h , Equation (7) yields   V = ( r 0 × Ω p ) , which corresponds to a fixed polishing velocity at all points at the CMP interface. Because this condition theoretically predicts uniform planarization across the wafer surface, the platen and carrier velocities are often matched in the processing step. For polishers equipped with an adjustable carrier translation, the translational velocity of the carrier affects the value of the V. The main CMP-related effects of the platen velocity and its related kinematic variables have been theoretically investigated previously [33,44,45,47].
Avoiding the within-wafer nonuniformity (WWNU) of the material removal is a critical aspect of maintaining the efficiency of a CMP process to increase the wafer production yield. Spatial distributions of the P and V play important roles in regulating the WWNU. This can be noted from the following definition of WWNU used by Luo and Dornfeld [31]:
WWNU = K p ( P V ) max     ( P V ) min M R R av
where (PV)max and (PV)min, respectively, are the maximum and minimum values of the product (PV) measured across the wafer surface; MRRav is the average MRR. When experimental results for spatially varying MRRs are available, the numerator of Equation (8) is replaced by the standard deviation of the measured MRRs [48].
For large wafers, the mechanical down pressure, as well as the fluid pressure [49], can vary across the wafer surface and contribute to WWNU. Additionally, the pad’s surface roughness and elastic modulus affect the contact pressure on a CMP surface and can change with usage. Pad degradation is not radially uniform because the inner regions of the pad tend to wear more than the outer regions [50]. In such cases, the effects of pressure variations can be compensated by adjusting the platen and carrier velocities at unequal values [33,47].

2.2.3. Slurry Delivery

The optimized delivery of CMP slurries is a critical factor for maintaining the slurry utilization efficiency [51] for the cost management of CMP consumables [52,53]. The major aspects of optimizing the slurry delivery include the slurry flow rate (SFR), the slurry distribution, and the slurry’s mean residence time at the pad surface. These features of slurry management also depend on the design of the pad grooves [54,55]. The SFR regulates the temperature at the CMP interface by transferring the frictional thermal energy of the CMP to the flowing slurry [56]. The MRR increases at lower SFRs as the thermally supported material removal increases due to the slow removal of the interfacial slurry [57]. Usually, this thermal mechanism of material removal serves as a predominant mechanism that correlates SFRs with MRRs in CMP [57]. An adequate rate of slurry flow is also useful to control dishing and erosion, as these defect-forming processes are thermally promoted [58].
The WWNU of CMP can also receive contributions from nonuniform slurry delivery across the CMP surface. The radially uneven distribution of a slurry develops a correspondingly uneven fluid pressure at the CMP interface. Using experimental CMP results for SiO2, Zhuo et al. proposed a mechanism of WWNU due to nonuniform fluid pressures caused by uneven slurry distribution [59]. This mechanism is consistent with the framework of Equation (8) and is based on the observation that the fluid pressure is added as an extra load to the applied downforce of the polishing [59]. With this addition of a nonuniform fluid pressure, the resulting value of the P becomes radially nonuniform and contributes to WWNU. Proper placement of the slurry injection point has been shown to be an important factor to address the latter issue [60].

2.2.4. Pad–Sample Contact Area

Figure 3B shows a schematic of the pad–sample interface frequently used by many authors [26,28,61,62]. The pad–wafer contact area in CMP has been found to be between < 1% and 3.5% of a pad’s geometric area, depending on the pad and the downforce used [63,64]. Earlier observations using confocal microscopy under static conditions suggested about 70 pad–wafer contact points mm−2 [65]. A later reported dynamic model indicated a larger number of contact points (5000 contacts mm−2) between a pad and wafer under typical CMP conditions [65]. More recent measurements using in situ infrared spectroscopy have shown how pad–wafer contact areas depend on the down pressure of the CMP, as well as on the hardness and wetting condition of the pad [66]. While this area factor is critical for material removal in the contact mechanics of CMP, several other polishing variables play important roles in dictating the MRR. These latter variables may include water absorption by the pad, the specifics of the pad conditioning, as well as various viscoelastic effects [66].
To account for the abrasives’ role in CMP, the particles trapped within the contact regions of the pad asperity and wafer surface are generally characterized as “active abrasives” that largely dictate material removal in CMP. Because the pad roughness is generally larger than the abrasive particles, “inactive abrasives” also exist in the low regions of pad roughness. As noted in the context of Equation (4), sliding particles at the pad–wafer interface dominate over rolling particles in material removal. This function of sliding friction is commonly considered in CMP models based on pad–abrasive–wafer contact mechanics [26,67]. The net contact between a wafer and the active abrasives has been modeled by calculating the number of active abrasives within the wafer–pad contact region [68,69]. The direct mechanical contact area determined in this approach depends on the abrasive size and several mechanical variables, including the pad hardness, wafer hardness, pad asperity, and down pressure of the polishing.

2.2.5. Electrochemically Effective Area of a CMP Surface

Aside from electrode potentials, most electrochemical variables of a CMP system are extensive parameters that require normalization with respect to an active surface area. For CMP interfaces, this surface area generally differs from the pad–sample–contact area [70]. The direct contact area supporting abrasion and material removal generates the electrochemical signal of tribo-corrosion, while the contact regions containing inactive abrasives, or no particles, support general corrosion. For conventional electrochemical systems involving mechanically unperturbed stationary samples, the electrochemically active surface area of an electrode can be determined by using an established method based on the measurement of the double-layer capacitances [71,72]. However, it is difficult to adapt this approach in CMP situations in which electrochemical signals are registered from both abraded (tribo-corrosion) and non-abraded (corrosion) regions of the sample surface.
The relative contributions of general corrosion and tribo-corrosion can vary among different cases of metal CMP. The CMP slurry serves both as a lubricant and an electrolyte in the overall planarization process, while the surface reactions of the CMP play an active role in determining how a lubricant film of the slurry interacts with a wafer surface in a sliding contact [73]. Surface sites that are electrochemically passivated and/or blocked by inactive adsorbates act to decrease the effective electrode area. For these reasons, the electrochemically effective surface area (Aeff) of a CMP surface is different from the sample’s mechanically relevant contact area with the pad/abrasive.
Two separate methods for estimating electrochemically effective sample areas are found in the CMP-related literature. The first method, which is based on the measurement of effective solution resistances (using the EIS or current interruption technique), is suitable for those cases in which the sample surface is not significantly covered by passive films [74]. This method may not be effective in the presence of a thick layer of surface complexes or oxides with passivating features, as the diffusion resistance of such a layer can add to the actual solution resistance and remain unresolved in a resulting “series resistance” of the system. In these cases, it is customary to set the Aeff equal to the sample’s geometric area and examine the surface passivation (along with other detectable effects) from the signature features manifested in the measured extensive variables, like the current densities and impedance parameters [75].

2.2.6. Considerations of CMP Models

To correlate the measured values of the electrochemical variables and mechanical variables of a CMP system, it is often necessary to connect the electrochemical corrosion rates with the MRRs described in the tribological models of CMP. These models have been extensively discussed in relatively recent reviews [1,3,62] and will not be considered here in detail. Briefly, these CMP models can be grouped into the categories of lubrication theory [76,77], mixed (or “partial”) lubrication [78,79], and contact mechanics [80] accounting for the pad roughness [81]. Experiments reported from the present authors’ laboratory for metal CMP have frequently matched the considerations of mixed-lubrication models [79,82,83,84]. This situation is expected at intermediate values of the P and V; the hydrodynamic mode dominates at high values of the pseudo-Sommerfeld number (V/P) [85], while the contact mode prevails at low values of the V/P.
In the mixed-boundary situation, the solid contact points between the wafer surface and abrasive particles at the pad asperities largely dictate the material removal, while the slurry’s lubrication film also affects the CMP results [86]. Electrochemical probes are suited for studying these systems, in which the slurry film serves as an electrolyte, while the mechanical effects supported by contact friction mainly affect the overall electrochemical response of the interface. In the general formalism of tribology, lubrication regimes are often defined in terms of the λ-ratio (fluid film thickness divided by the root-mean-square roughness of the friction interface), which forms the abscissa of a Stribeck curve [87]. In the CMP context, the pseudo-Sommerfeld number is commonly used as the independent variable of a Stribeck curve, primarily due to the relative ease of determining this variable with a basic CMP tool. By measuring the coefficient of the sliding friction as a function of the pseudo-Sommerfeld number and analyzing the shape of the resulting Stribeck curve, it is possible to identify the lubrication regime of a CMP interface; this, in turn, can guide the selection of an appropriate model to analyze the associated CMP data [88,89].
Higgs et al. calculated the solid contact pressure for a mixed-lubrication CMP system using the Winkler stress model [7]. Their model predicted an average thickness of the lubricating slurry film (distance between the mean asperity plane and wafer surface) of ~76 μm, a value comparable in order of magnitude to those reported by others [77,90]. An average thickness of the slurry film is sampled in tribo-electrochemical experiments, and this film’s thickness is affected by contact stress [59]. When the CMP sample is pressed down onto the polishing pad in a stationary configuration, the slurry stored in the space created by pad asperities serves as the electrolyte. Certain considerations for the transport of reactants and products at the CMP interface under these conditions have been discussed previously [75].
Empirical models of CMP based on different versions of Preston’s law are often useful to interpret the experimental results of MRRs and correlate them with those of electrochemical measurements [91,92,93,94,95]. While the traditional Preston formalism does not provide any substantial details on the chemical component of CMP, these details can be included in the otherwise unspecified Preston coefficient. Bozkayaz and Müftü reported a Prestonian model of material removal including a passivated surface layer of the wafer to account for the chemical function of CMP [96]. Tseng et al. introduced a phenomenological deterioration coefficient into the Preston equation to consider the chemical component of CMP [33]. Paul incorporated the chemical component of CMP into a Prestonian formalism assuming a steady state between the chemical formation and mechanical removal of a surface complex film [97].
Using Paul’s CMP model, Rock et al. connected the mechanical work of polishing with the “binding energy” (BE) of a CMP-enabling surface complex [94]. This phenomenological BE represents the energy per unit volume of the removable material that binds the material to the CMP surface. Considerations of the BE in the CMP of silica glass are discussed in a molecular dynamics investigation [98]. However, published research on the link between BE and material removal is considerably limited for metal CMP. The mechanical work (W) of friction used for material removal can be connected to the BE (EB) and expressed as follows [99]: EBxW/Vm, with the assumption that a fraction (x) of the W is used in separating the removable material from the CMP substrate.
If the mechanical work (W) removes a volume (Vm ) of the surface materials in an interval (Δt), then Wμeff (PAf )VΔt, where μeff is the effective coefficient of friction of the CMP interface [48,100]. In the mixed-lubrication mode, the μeff can be approximated as follows [41]: μefff1μ1 + f2μ2 + [1 − ( f1 + f2)]μ3, where μ1 and μ2 denote the coefficients of friction for the wafer–abrasive and wafer–pad interfaces, respectively; f1 and f2 are the corresponding fractional contact areas. μ3 is a coefficient of friction accounting for shear at the wafer–slurry interface. Because x ≤ 1, the above expressions for EB and W can be combined to write the following: P V     E B ( M R R ) / μ eff . Thus, if the P is varied at a fixed value of V, then P 0     E B ( M R R 0 ) / μ eff V , where MRR = Vm/(Af Δt) = P V K p . Here, the MRR0 is the practical detection limit of the MRR for a given CMP system.
The above expression of the P0 illustrates the phenomenological basis of the BE in terms of the threshold pressure and platen–sample velocity. The foregoing discussion also provides a framework to note the dual role of the CMP slurry as a lubricant and an electrolyte supporting surface-modifying reactions. The slurry’s function as a lubricant (in mixed lubrication) is indicated in the last term of the μeff, while the fractional areas in the μeff essentially represent the load-share functions generally considered in the field of tribology [101]. The role of slurry chemistry is implicitly included here in the BE term, as this BE is specific to the removable material’s composition, which, in turn, is dictated by the (electro)chemical reactions of the slurry. Rock et al. have further extended this description to explicitly incorporate the role of CMP chemistry into the above description of BE [94].
Aside from supporting material removal, the frictional energy of CMP contributes to interfacial heating and defect generation at the polished surface [48]. The frictional energies of CMP systems can be measured using infrared sensors [102]. By varying the frictional energies with mechanical inputs, the resulting frictional energies are often found to be nonlinear with respect to the correspondingly measured MRRs [48,102]. Detailed analyses of such data indicate how frictional energies are utilized for MRRs. Based on these observations, measuring the E B in terms of the P0 and MRR0 is difficult because the system-dependent value of x is difficult to determine. Zhao et al. have discussed the practical difficulties of measuring this BE [19]. Further quantification of the CMP-related BE could possibly involve considerations of material removal as a de-coherence process, where the BE could be described in terms of material separation through the incremental propagation of infinitesimal cracks [103].

2.2.7. Tribological Effects on CMP Electrochemistry

The CMP-related corrosion parameters of a surface under processing, and specifically the general corrosion current, galvanic corrosion current, and polarization resistance, vary in their values (quite significantly in some cases) depending on whether they are measured in the presence or absence of abrasion. A compiled set of examples showing these variations has been recently reported [104]. Because the CMP slurry acts as an electrochemically active lubricant, mechanical friction at the pad–sample interface can affect the CMP-enabling electrochemical reactions in several ways. The predominant tribological effects in this category are as follows [105]:
(i) Due to the limited electrolyte volume within the pad–sample gap, the surface-to-volume ratio of the active solution is rather high, and this generally contributes a surface energy term to the free energy of adsorption for the slurry species reacting with a CMP surface. An increased adsorption of reactants can promote CMP-enabling reactions;
(ii) The frictional heating of CMP raises the local temperature of the lubricant electrolyte, which, in turn, can increase the rate of the CMP reactions [48,106]. Changes in the pad temperature affect the pad’s hardness and, hence, the removal rate. For a commonly used mechanical setup of metal CMP using abrasive-based slurries, the pad temperature can rise from room temperature to up to ~55 °C in a minute of polishing at a typical down pressure of ~0.02 MPa [48,107]. The CMP metal’s corrosion current is expected to correspondingly increase at these increased temperatures [108].

3. Combining Electrochemical and Mechanical Features of CMP in Laboratory-Scale Measurements

3.1. Instrumentation

In the general field of tribology, friction tests are traditionally conducted using ball-on-disc, pin-on-disc, or reciprocating pin-on-plate tribometers that are operated with well-defined sliding pairs [109]. Electrochemical tests of tribo-corrosion are also routinely included in this category of experiments [110,111,112]. Tribometers have been used to study some aspects of friction in CMP [113]. While this type of instrumentation is useful for studying certain fundamental features of friction in the context of CMP, the associated sliding configurations deviate from that used in the CMP process. Experimental arrangements mimicking certain main features of CMP interfaces have been reported by several research groups [114,115,116,117,118,119,120], including ours [74,121,122].
The earlier studies on CMP-related tribo-electrochemistry frequently employed modified rotating disc electrodes with the electrode pressing against a polishing pad in a face-down or face-up arrangement. Either the pad or the electrode is rotated in these arrangements at rotation speeds typically varying in the 200–400 rpm range, while some sample rotation speeds have been reported below (50 rpm [114], 100 rpm [118]) and above (1000–4000 rpm [115]) this range. Fang and Raghavan used a setup in which a face-up sample was rotated at 14 rpm in contact with a pad rotated at variable speeds in the range of 0–400 rpm [116]. In the experimental setup used in our laboratory, both the sample and polishing pad can be rotated at adjustable speeds using a typical polisher configuration of CMP. This platen–sample rotation speed is maintained in the range used for traditional CMP.
Figure 4 displays (A) a photograph and (B) schematic of the tribo-electrochemical setup currently used in the present authors’ laboratory to study model CMP systems. The electrochemical cell chamber is integrated with a commercial benchtop polisher (Struers LaboPol) equipped with the essential design of a rotary CMP tool’s platen–sample assembly, as illustrated in Figure 3A. The metal test sample (1″ diameter disc) for the CMP, embedded in a Teflon holder, is used as the working electrode (WE) in a three-electrode configuration [74]. The polisher is placed on an electrically grounded workbench. The electrochemical workstation is set up on a grounded optical table adjacent to the polisher. The polishing pad is attached to the platen. IC 1000 pads are typically used in this setup considering their well-characterized mechanical features [66,123,124], which are useful in the context of data analyses. The CMP sample is pressed onto the pad at a preset down pressure during the CMP.
The primary mechanical variables for the setup in Figure 4 are the Ω p , Ω h (and hence the V), and P, along with changeable options for the polishing pad and abrasive particles. The polisher motion is controlled (typically within the 70–100 rpm range) by a computer using LabVIEW. The downforce of the polishing is manually controlled using a load-adjuster setting on the tool (calibrated using a pressure sensor). Industrial CMP machines are equipped with a dripping slurry delivery system and a drain for the spent slurry [45]. For the setup in Figure 4, a closed slurry/electrolyte chamber (made of Teflon) is used to maintain a slurry pool for electrochemical measurements. While this slurry serves as the necessary electrolyte in the cell, a limitation of this setup is that it does not support the testing of SFR-dependent effects. While employing a CMP slurry also as an electrolyte, it is necessary to maintain an adequate ionic conductivity of the slurry so that noisy artifacts (due to high cell impedance) can be avoided in the recorded data. This is generally accomplished with the inclusion of a background electrolyte (like KNO3) containing non-interacting ions in the slurry. Additionally, the increased ionic strength of the slurry established in this approach often works in favor of the associated CMP process [125,126].
A counter electrode (CE), formed by two interlinked stainless-steel (SS) strips connected to a ring of SS, is placed along the cell’s inner perimeter. The CE’s surface area, being more than 3 times the WE area, helps to sustain the test system’s reaction kinetics when probed at high overpotentials. The reference electrode (RE) is a saturated calomel electrode (SCE) connected to the cell chamber by a salt bridge (SB). The electrodes are controlled by a Solartron 1287 potentiostat, coupled with a 1252A Frequency Response Analyzer (FRA). Electrical connections to the electrodes are enabled through carbon brushes (CBs) pressed against copper rings, and they are channeled via shielded BNC cables to the potentiostat. Computer interfacing of the FRA is fed through a National Instruments 763507-02 General Purpose Interface Bus (GPIB) Cable (X2 type) using the GPIB interface of the potentiostat. The latter is connected to a data acquisition computer using a GPIB-USB-HS interfacing cable (NI 780570-01). All the standard electrochemical control variables for three-electrode experiments are available in this arrangement, and the electrochemical measurements and CMP of the WE sample can be carried out simultaneously.

3.2. Selection of Test Samples

For tribo-electrochemical tests, polycrystalline disc samples are particularly useful, as they can be simultaneously subjected to mechanical polishing and electrochemical probing (which typically requires separating the mechanical and electrical contacts at the opposite flat faces of a sample). This feature is not generally supported by thin metal films deposited on electrically nonconductive substrates. The reusable feature of these structurally robust samples also serves as a means for consistently checking the repeatability of the results. For the analyses of CMP-related test results, the utilities of Pourbaix diagrams and various (electro)chemical databases involving the intrinsic properties of specific metals remain mostly comparable between polycrystalline test samples and their thin-film counterparts. For these reasons, polycrystalline metal discs or coupons are widely used for electrochemical tests of CMP interfaces [127,128,129,130,131,132,133,134].
The main limitations of using polycrystalline disc samples for CMP experiments are as follows: (i) low MRRs are usually found for these samples due to their typical grain sizes (from the inverse Hall–Petch effect [135]); (ii) measurements of MRRs are mostly limited to gravimetric methods, as four-point-probe measurements generally are difficult for these relatively thick samples; (iii) the surface roughnesses of these samples, in most cases, are unsuitable for microscopic analyses. Because electrochemical results usually correspond to an average response of a test surface, blanket wafers are more suitable than patterned wafers as thin-film replacements of polycrystalline test samples. However, the analytical utility of spatially resolved microscopy to complement electrochemical probes may still remain limited for un-patterned thin films.
A further consideration for choosing thin-film wafer coupons for electrochemical tests is the impact of these samples’ structural variations on the measured CMP parameters. For instance, MRRs measured using thin films have been found to broadly vary depending on the crystal orientation of the film [136,137], as well as its morphological (patterned vs. blanketed) makeup [138]. Combined with these observations, the aforesaid practical advantages of polycrystalline test samples often outweigh their limitations in the context of studying CMP-related tribo-electrochemical systems.

3.3. Optimization of Experimental Systems to Enhance Signal Detection

In a three-electrode electrochemical cell, the current (I) is measured between the CE and the WE, while the cell potential (E) is maintained and measured between the RE and the WE. The electrode current density (i = I/Aeff) is used for data analysis by normalizing the value of the I with respect to the effective area (Aeff) of the WE. During measurements, equipotential lines are set up between the WE and RE, and the resistance (Rs) of the solution (slurry) between the WE and RE introduces an ohmic voltage drop (iRs). The RE is placed as close as possible to the working electrode to reduce the value of the Rs, and this also limits the spreading of equipotential lines between the WE and RE. Nevertheless, the conventional rules for electrode placement may become restricted for tribo-electrochemical cells when the WE is moved with respect to the RE to apply mechanical abrasion to the WE surface [139,140,141]. This situation is unavoidable in tribo-electrochemical measurements using CMP systems if a sample configuration is used to simulate that of an industrial CMP tool [45,46]. Special care is required to minimize possible artifacts caused by such moving WEs.
Two main effects can be expected when there is a small fluctuation in the WE–RE separation: (1) the equipotential lines connecting the two electrodes fluctuate, which can add a noise-like component to the electrode potentials; and (2) the ohmic potential drop between the WE and RE oscillates, which can introduce another noise-like component to the applied overpotential of a polarized WE and consequentially generate a current fluctuation in the data. Minimizing the distance between the sensing locations of the WE and RE is essential to suppressing both these effects. Additionally, the ionic conductivity of the slurry solution must be increased to minimize the value of the Rs linked to the second effect. As noted earlier, the slurry conductivity can be enhanced without introducing undesired changes into the slurry’s CMP chemistry by adding a non-interacting supporting electrolyte. With these optimization procedures, the net fluctuations in the electrode potential should remain under the thermal voltage (~26 mV) at room temperature. Results of experiments designed to confirm these optimization conditions are presented later in this review.

4. Data Analysis Protocols and Theoretical Considerations

4.1. General Considerations for Data Analyses

Within the typical ranges of the mechanical variables of CMP, the overall electrochemical description of a metal CMP system remains mostly the same, while the characteristic electrochemical parameters of the system change values in response to the tribological variations [142,143,144,145,146]. As expected according to theoretical models of tribo-corrosion [22,112], the mixed-potential reactions of metal CMP dictate the associated electrochemical variables. Chemical reactions like that in Equation (3) indirectly affect the accompanying electrochemical reactions by affecting the interfacial concentrations of the latter’s reactants/products.
The electrochemical double layer at a CMP interface only forms on the metal side because the pad is normally nonconductive. Thus, no effects of overlapping double layers operate in these systems. Moreover, the pad at a CMP interface allows sufficient ionic transport to support electrochemical kinetics. The slurry film of lubrication formed at the pad–sample interface during polishing serves as the electrolyte for CMP reactions. For a stationary CMP sample pressed onto a polishing pad, the solution species stored within the pores (of 30–70 μm diameters [123]) acts as an electrolyte to support the surface-modifying reactions in the CMP. With these dimensional characteristics, the electrochemical interface of a CMP sample readily fits into the usual description of Butler–Volmer formalism [147,148].
When coupled with tribology to examine a CMP interface, OCP and EIS measurements are generally performed under the electrochemical equilibrium of the test system. However, voltammetry, chronopotentiometry, and chronoamperometry require the electrochemical activation of the CMP interface with an external potential or current. Because CMP (excluding ECMP) is performed in the absence of such electrical perturbations, possible interferences of such probing voltages and currents with the CMP process should be considered in the context of tribo-electrochemical data analysis; this topic is discussed in Section 7.

4.2. Formulation of Corrosion Variables

The corrosion parameters of a CMP interface serve as essential indicators of the latter’s reactivity. These parameters, including the icorr, Ecorr (or OCP (Eoc)), and polarization resistance (Rp), are regularly measured and analyzed at different stages of developing and accessing new slurry designs. The value of the icorr is often used as an electrochemical measure of the material removal in CMP [22,115]. The variations in the different corrosion parameters monitored in response to strategically changed slurry compositions show the roles of slurry consumables in material removal. For instance, by changing the icorr and Ecorr by selectively varying the concentrations of the oxidizers, complexing agents, and dissolution inhibitors in a CMP slurry, it is possible to assess the functions of these individual slurry additives. Likewise, by comparing corrosion data recorded in the presence and absence of mechanical polishing, one can identify the synergistic roles of the chemical and mechanical effects in the CMP. These measurements are also necessary to determine the system-specific tribo-electrochemical parameters, such as the specific wear rates (SWRs) and tribo-corrosion rates (TCRs), as functions of slurry compositions.
To facilitate the task of analyzing CMP-related tribo-corrosion data, it is useful to set up a theoretical framework correlating the main corrosion parameters of the test system with certain material properties. To achieve this, we refer to Figure 2, where the anodic and cathodic branches of the mixed-potential plot can be described by the following Tafel equations [149]:
η a = β a ln ( I a / I corr )
η c = β c ln ( I c / I corr )
where η a and η c are the applied anodic and cathodic overpotentials, respectively. η a = E ( a ) E corr , and η c = E ( c ) E corr , with E ( a ) and E ( c ) denoting the external potentials applied along the anodic and cathodic Tafel branches, respectively. β a and β c are the respective symmetry factors. β a = R T / [ z F ( 1   α a ) ] and β c = R T / ( z F α c ) , where R, F, and T are the gas constant and Faraday constant and temperature, respectively. α a   and α c represent the anodic and cathodic transfer coefficients, respectively; z is the electron valency of the mixed reaction.
At E ( a ) =   E ra , and at E ( c ) = E rc , the anodic and cathodic electrode currents are equal to I ra and I rc , respectively. Assuming that the extended current branches of the Evans plot at these potentials can be described by Equations (9) and (10), we write the following:
( E ra   E corr ) β c β 1 = ( β a β c β 1 ) ln ( I ra / I corr )
( E rc E corr ) β a β 1 = ( β a β c β 1 ) ln ( I rc / I corr )
where β = β a + β c . Subtracting Equation (12) from Equation (11), and rearranging the resulting equation, the E corr can be expressed as follows:
E corr = β c E ra + β a E rc β + β a β c β ln i rc A c i ra A a   E oc
where the theoretical equivalence between the E corr and E oc (OCP) is noted. i rc = I rc / A c and i ra = I ra / A a , with i rc and i ra   denoting the current densities in the anodic and cathodic branches, respectively. The same form of Equation (13) also follows from more rigorous treatments of the mixed-potential formalism [150,151].
In Equation (13), A c and A a are the partial surface areas of the metal surface where the anodic oxidation and cathodic reduction reactions of the corrosion system are supported. These area factors represent the separate identities of the anodic and cathodic sites [149,152,153,154,155,156]. The ratio ( A c / A a ) is an important factor for pitting corrosion [157], which is known to cause surface defects and must be avoided in CMP situations. The terms β a and β c can be determined by measuring the anodic and cathodic Tafel slopes, b a and b c , respectively; β a = b a / 2.303 and β c = b c / 2.303 [158,159].
Adding together Equations (11) and (12), the icorr can be obtained from the resulting expression as follows:
i corr = A a i ra A eff B a A c i rc A eff B c exp Δ E r β
where B a   = β a / β , B c = β c / β , and Δ E r = E rc   E ra , and A eff is the electrochemically effective net surface area of the WE. The equilibrium corrosion rate (CR) of a CMP surface can be determined as: CR   = M m ( ρ m z F ) 1 i corr , where M m and ρ m are the molecular weight and density of the CMP metal, respectively. The TCR is calculated as: T C R   = C R ( Polish )   C R ( Hold ) . Another useful parameter for studying the tribo-electrochemistry of metal CMP is the SWR, which can generally be estimated in terms of the Preston coefficient [43,160].
In addition to the i corr , the equilibrium value of the Rp recorded under selected conditions of the CMP provides another measure of the surface activity as a function of the process variables. Assessment of CMP slurry activity using Rp data becomes necessary in situations in which the shapes of the Tafel plots are too convoluted (due to faradaic reactions activated under electrode polarization) to allow reliable Tafel extrapolation [94,161]. The polarization resistance is defined as follows:
R p = Li m η 0 η i
which represents the slope of the linearized Butler–Volmer equation at voltages close to the OCP [162].
The R p   for a CMP surface can be measured using three different independent methods. The first method is to determine the   R p as an impedance element in an electric-equivalent-circuit (EEC) model derived from the complex nonlinear least-square (CNLS) analysis of the experimental EIS data. This option, however, is not available if the EIS data collected during polishing cannot be validated due to a lack of electrochemical stability at the abraded surface. A second method of determining the R p is to measure it as a linear polarization resistance (LPR) using linear sweep voltammetry (LSV) at low overpotentials ( η   <   0.01 V) and incorporating the slope of the resulting i η plot in Equation (15). The third method of measuring the R p uses PDP in extended voltage ranges covering the Tafel regions of the system. The anodic and cathodic Tafel slopes of the resulting polarization plot are determined, and the R p is calculated as follows [163]:
R p = 1 i corr b a b c 2.303 ( b a + b c )
which is the Stern–Geary equation [149].
As noted in the context of Figure 1, metal CMP is generally facilitated by the chemical formation of surface species that are electrochemically passive and serve as the removable material. If the Nernst activity terms of all the solid-phase reactants/products of the CMP are equal or close to unity, the process-induced changes in the anodic or cathodic passivity are largely manifested in those of the area factors (Aa and Ac) included in Equations (13) and (14) [74]. Variations in the mechanical variables (the V and P) affect the relative values of the Aa and Ac. Changes in slurry compositions also affect the values of the Aa and Ac by changing the surface reactivity. At the same time, the thermal effects of friction are implicitly included in the corrosion parameters discussed here. If the frictional energy of the CMP substantially contributes to the activation energies of the surface reactions, the corrosion parameters will be further affected.
These above formulas provide a general framework for examining tribo-electrochemical results for metal CMP systems. These equations can be combined with kinetic models of surface reactions, and by fitting the resulting formulas to experimental data, it is possible to gather quantitative information about the growth and removal of various reaction products that are critical to the CMP process [74]. Alternatively, selected distinct features of the experimental data can be examined in a combined framework of Equations (13) and (14), which, in turn, can provide fast evaluations of trial consumables for metal/alloy CMP systems. This review presents several sets of previously unpublished experimental results with a specific focus on utilizing the latter type of observational information.

5. Electrochemical Noise of CMP-Related Tribo-Corrosion

5.1. General Considerations

An electrochemical interface under mechanical friction is prone to developing tribologically enhanced electrochemical noise (rapid fluctuations) in the potential and/or current response(s) of the interface [159,164]. Tribological noise (tribo-noise, electrochemical noise of tribo-corrosion) is generally activated by electrochemical instabilities triggered by certain surface processes; these include fast oscillating variations in the interfacial electrolyte’s chemical makeup and high-frequency passivation/de-passivation cycles occurring at the electrode’s surface layer [165]. Electrochemical noise generated under surface friction contains a wealth of information about the lubrication, friction, and other mechanisms of the associated surface processes [166,167]. However, for noise spectroscopic analyses, the recording of such data requires specific experimental considerations as well as detailed analyses of the power spectral density data collected under strategic conditions [166,168]. Discussions of such analyses involving noise spectroscopy are beyond the scope of the present review and will not be considered here.
Even when spectroscopic noise analysis is not necessary, for quantitative examinations of electrochemically metastable CMP interfaces, it is generally necessary to identify the source of any detectable current or voltage fluctuations. Specifically, to attribute any observed noise signals to tribo-noise, it is first necessary to confirm the absence of all possible noise sources that could be linked to the measurement devices and procedures of measurements. This is important because tribo-noise can appear in a range of electrochemical measurements involving CMP systems, including those of EIS, OCP transients, chronoamperometry, and PDP [75,169]. The present discussion of this subject will focus on the techniques of OCP measurements and PDP that are most frequently used for studying CMP systems.

5.2. Tribo-Noise in Open-Circuit-Potential Measurements

When checking for noise due to repeated displacements of the WE–RE connecting equipotential lines, the possibility of this noise can be selectively checked using OCP measurements in which the ohmic potential’s contribution does not exist because the electrode current is zero in this case. Figure 5 presents demonstrative results of these measurements using a Mo/Cu CMP system in an alkaline (pH = 8) CMP slurry with citric acid as the complexing agent. Effects of varying the Rs during polishing can be ruled out here, as I = 0 at the OCP. The OCP transients in Figure 5 were recorded with Ωp = Ωh = 90 rpm, while the CMP sample was either (a) lifted above the pad surface by 1 mm, or (b) pressed down onto the pad at a down pressure of 0.014 MPa. Thus, in configuration (a), only the effects of moving equipotential lines were monitored, and in (b), these effects were superimposed onto those responsible for the tribo-noise that originated at the surface undergoing abrasion. The noise-free plot (a) in panel (A) shows that the WE–RE geometry is adequately optimized so that no effects of sample rotation on the OCP are detected.
The Mo surface in Figure 5 is relatively passivated by the film of a weakly soluble Mo–citrate complex. Plot (b) in (A) shows the corresponding OCP under active surface abrasion by the pad and abrasives. The OCP fluctuations observed in the latter case can be identified as tribologically activated voltage noise, commonly observed from electrochemically responsive abraded surfaces like those considered here [139,170,171,172]. The extended temporal profiles of both plots do not shift significantly over the period of data collection, which indicates that, at its steady-state thickness, the surface film on Mo is mostly porous and, hence, is not strongly passivating.
Plot (a) in Figure 5B was collected under the same conditions as those considered in Figure 5A. However, unlike the case of Mo, the OCP transient for Cu was superimposed by a measurable electrochemical noise. OCP fluctuations of this type are frequently observed in the presence of dissolving surface species, and the different origins of these fluctuations have been reviewed by Hudson and Tsotsis [165]. One of the main underlying mechanisms of OCP noise is based on fast fluctuations of the interfacial solution pH [173]; as noted below, this mechanism can be linked to the noise component of plot (a) in Figure 5B. The OCP of the Cu surface in this case is mainly dictated by the mixed reaction of H2O2 reduction ( H 2 O 2 + 2 e = 2 O H ) and Cu oxidation (Cu + 2O H = CuO + H2O + 2e), with a net oxidation reaction of the form Cu + H2O2 = CuO + H2O.
At pH = 8, citrate anions (Cit3− (C6H5O73−)) in the slurry chemically dissolve CuO in the form of ( C u 2 Ci t   2 H 2 ) 4 [174,175]: 2CuO + 2Cit3− = (Cu2Cit2H−2)4− + 2O H , where the reaction product (O H ) acts to increase the local pH of the Cu surface. As this dissolving species exposes the underlying fresh Cu sites to the solution, CuO is formed again through the consumption of O H which, in turn, drops the local surface pH of the solution. Repeated fast occurrences of this oxidation–dissolution cycle lead to rapid fluctuations in the interfacial solution pH. Because the Ira, Irc, Era, and Erc terms in Equation (13) depend on the local solution pH (concentration [O H ]), the main effect of the pH fluctuations at the Cu surface is manifested in the form of OCP fluctuations. Furthermore, after its initial drop, plot (a) remains mostly time-invariant, which shows that the dissolution-mediated porosity of the CuO film is maintained in a non-passive state during the period of data collection. Thus, the observation of OCP noise, in combination with the explanation presented above, helps to propose an experimentally supported mechanism of Cu CMP in the case of Figure 5B.
The potential fluctuations seen In plot (b) of Figure 5B are due to a combination of dissolution-induced noise and tribo-noise arising from the abrasion of a CuO surface film that has been structurally weakened by partial dissolution in the form of ( C u 2 Ci t 2 H 2 ) 4 , and by the incorporation of these ionic complexes within the film. The weakly increasing time dependence of the general OCP profile (b) in Figure 5B suggests that the cathodic activity of H2O2 reduction increases as the CuO surface film continues to be removed by abrasion; this likely happens when the rate of mechanical removal exceeds the rate of oxide regeneration.

5.3. Tribo-Noise in Potentiodynamic Polarization Measurements

Tribologically activated current noise (fluctuations) appears in potentiodynamic polarization plots for metal surfaces maintained under abrasion. These noises are readily detected in polarization measurements for abraded surfaces, while the amplitude and frequency of the oscillations generally vary among different systems [110,176,177]. These current noises, generally caused by the repeated (chemical) passivation and (chemical plus mechanical) de-passivation of electrochemically active metal surfaces, are commonly encountered in tribo-electrochemical studies of metal CMP [178]. To confirm this tribological origin of current noises in a tribo-controlled polarization plot, it is necessary first to examine the presence/extent of any current fluctuations linked to variations in the ohmic (iRs) component of the applied polarization potential. Illustrative results of such experiments involving a test system for cobalt CMP are shown in Figure 6.
To check for the effects of a varying solution resistance, the polarization plots in Figure 6 were collected for a Co disc sample using the setup in Figure 4 with an abrasive-free electrolyte of 0.1 M KNO3 (an additive to reduce the slurries’ solution resistance). Here, the Co sample was (A) maintained at a gap of 1 mm above the polishing pad, or (B) pressed down onto the pad at 0.014 MPa pressure. Plots (a) were recorded under static hold, during which both the platen and sample were stationary, and plots (b) were collected with the platen–sample assembly rotated at a common speed of 90 rpm.
All the experiments in Figure 6 were performed using the same data collection protocols and instruments. Additionally, none of the plots was subjected to any kind of data smoothening. Under these conditions, current noise was only observed for plot (b) in panel B, with a weak yet detectable noise component for plot (b) in panel A. The same observation applied to the data in Figure 5, where plot (a) in Figure 5A appeared completely noise-free, while the other graphs (affected by different surface corrosion/tribo-corrosion) exhibited observable potential noises. Based on these observations, any contribution of instrumental artifacts to the current and voltage noises can be ruled out here, and consistent with other authors’ conclusions [110,111,176], the sources of the observed oscillations can be attributed to the corrosion and tribo-corrosion characteristics of the experimental interface.
To check the possible contributions of sample movements to the current oscillations seen in Figure 6, we note that the WE–RE distance and, hence, the values of the Rs in the experimental configuration of plots (a) were essentially the same between Figure 6A,B. Likewise, the Rs in the arrangement of plots (b) was maintained at mutually comparable values in panels (A) and (B). Thus, the effects of ohmic solution resistances on the polarization data were mostly controlled at a fixed level between Figure 6A,B. The only major difference between the data in panels A and B was that the effects of surface abrasion were selectively included in B.
As established for plot (b) in Figure 6A, any noise contributions of fluctuating Rs values due to sample movements should always be negligible, and hence, they should not be considered as a significant source of the current fluctuations seen in plot (b) of Figure 6B. Thus, the current fluctuations detected in Figure 6B (b) can be primarily associated with tribo-noise. Similar results can be found in other authors’ publications [110,118,176,177], in which, based on the experimental conditions used, the tribo-noise can be strictly linked to the observed current fluctuations.

5.4. Processing of Tribo-Electrochemical Data for Systems Associated with Current Fluctuations

In CMP-related applications of electrochemical measurements, the interference of tribo-noise can sometimes complicate the usual protocols of data analysis. For instance, Tafel extrapolations from PDP data affected by strong tribo-noise can be difficult depending on the extent of the superimposed noise. In some cases, the actual data trend can be substantially masked by a high noise level, where the utility of PDP measurements for such systems becomes limited. An alternative approach, based on the technique of galvanodynamic polarization, could be useful for such systems that are affected by strong current fluctuations [178].
In the presence of moderate tribo-noise, polarization data for CMP systems can be utilized employing established statistical techniques of curve smoothening, such as LOESS (locally estimated scatterplot smoothing) or LOWESS (locally weighted scatterplot smoothing) [179]. An illustrative application of the LOWESS method using Origin software is presented in Figure 7, where a tribo-noise-affected PDP is processed for Tafel analyses.
The data in Figure 7 correspond to the experimental system considered for plot (b) in Figure 6B. The smoothened lines (in red) are obtained from the raw data (dark line) by “locally” calculating each smoothened point from a specified number of neighboring points included in a “span” of data points. The span parameter (generally < 1) represents the proportion of data points applied to each local regression. After processing a plot in this way, the original plot is compared with that of the smoothened data, and an optimized span level is selected based on the general agreement between the original and smoothened data trends. For the data in Figure 7, level 3 of curve smoothening using a span of 0.1 was found to be optimum.

6. CMP-Specific Applications of Tribo-Electrochemical Measurements

6.1. Intermittent Open-Circuit-Potential Transients under Polish and Hold Conditions

The OCP of an abraded interface is sensitive to the detailed conditions of the interfacial friction and lubrication and rapidly responds to even small changes in these conditions. For this reason, the measurement of OCP transients with the alternated application and withdrawal of friction is a standard technique for studying surface processes like tribo-corrosion in the field of tribology [180]. Several authors have effectively incorporated this technique into tribo-electrochemical studies of metal CMP systems by monitoring the polish-vs.-hold transients (PHTs) of intermittent OCP cycles. OCP variations of ~0.3 V were observed in Shima et al.’s investigation of Cu CMP [119]. Park et al. observed up to 0.06 V variations in intermittent OCP measurements for a Ru CMP system and attributed the effect to compositional changes in the CMP surface [114].
In an investigation of Cu/Ta CMP, Tamilmani et al. attributed ~0.3 V shifts of the Ecorr to the removal vs. formation of surface oxide layers [181]. Fang and Raghavan have reported PHT results for the OCP at a CMP interface of Ti/Al film stacks, and they explained the observed OCP variations in terms of the removal/formation of surface oxides [116]. Yang et al. studied intermittent OCP transients at a Mo-CMP interface and attributed the OCP variations to changes in the surface passivity [134]. Shi et al. reported similar results of PHT-OCPs for CMP systems involving Co, Cu, and Ru, where the OCP variations were linked to abrasion-induced compositional changes in the abraded surface layers [75].
In all the above examples of CMP-related systems, the OCP variations were strictly associated with changes in the chemical makeup of the test metal. Other mechanisms, such as those linked to the hydrodynamic effects of CMP, have not been considered in this context. These assertions are consistent with the results of the intermittent OCP sliding tests found in the traditional tribology literature [170,171,182,183,184,185]. Rapid changes in intermittent OCP values are commonly observed in pin–slide experiments in which the hydrodynamic effects are expected to be minimal [186,187]. Additionally, the commonly used settings of temperature and slurry flow in CMP do not support the conditions necessary to activate flow-accelerated (moving fluid) fast corrosion within the timescale of intermittent OCP experiments [188,189]. Moreover, the OCP profiles recorded in intermittent sliding experiments show close correlations with those of the correspondingly measured coefficients of friction, and these correlations provide a major justification for directly connecting these fast OCP changes to friction-induced surface modifications [171,190,191].
For most metal CMP systems, the aforesaid features of OCP transients can be adequately characterized in the description of Equation (13) considering relative variations in the values of the Aa and Ac. Figure 8 displays examples of PHT data collected for disc-shaped CMP samples of (A) Mo, a barrier liner material, and (B) Cu, a wiring material. The electrolytes are abrasive-free CMP slurries of the compositions noted in the figure caption. Both the polish (P) and stationary-hold (H) cycles used a 0.014 MPa down pressure, while the platen–sample angular velocity was set at 90 rpm and 0 rpm for the P and H cycles, respectively. The P/H cycles were alternated every 4 min. In agreement with previous results [111,192,193,194], tribo-noise is consistently seen in the polish cycles, indicating the electrochemical detection of mechanical friction.
In Figure 8A, the H2O2 released by SPC is reduced [H2O2 + 2e = 2OH] to oxidize Mo [Mo + 6OH = MoO3 + 3H2O + 6e], leading to a net mixed reaction, as follows: Mo + 3H2O2 = MoO3 + 3H2O. The equilibrium potential of this mixed reaction is detected as the Eoc in Figure 8A. The anodically passivating MoO3 film decreases the value of the Aa upon formation at the end of each P segment. The unstable oxide partly dissolves [MoO3 + 2OH = MoO42− + H2O], which causes the weakly decaying trend of the Eoc in the H cycles. In the P sequence, the remaining oxide film is removed by abrasion, so that the ratio (Ac/Aa) decreases, and the Eoc drops to a lower value as polishing starts.
In Figure 8B, the Cu surface contains significant amounts of CuO formed by H2O2 from the dissolved SPC. The Cit3− complexing agent in the CMP slurry improves the anodic activity of the Cu surface by dissolving this CuO, as noted in the context of Figure 5. [195]. At the same time, the slurry at pH = 6 contains a considerable amount of HCit2− anions, which chemisorb onto the Cu surface in the stationary-hold cycles. The chemisorbed HCit2− hinders the adsorption and subsequent cathodic reduction of hydrogen peroxide (H2O2 + 2H+ + 2e = 2H2O). This decreases the cathodic activity of the Cu surface substantially and thus leads to a stronger decrease in the value of the Ac relative to that of the Aa in Equation (13). Thus, the Eoc shifts in the cathodic direction during each H segment in Figure 8B. Mechanical polishing removes the chemisorbed HCit2− from the Cu surface and recovers the cathodic activity of the surface. The value of (Ac/Aa) is increased by starting abrasion at the end of each H sequence, and this effect is observed as a rapid increase in the Eoc at the onset of each P cycle.
In addition to indicating the anodic vs. cathodic selectivity of surface reactions, the repeatable profiles of the intermittent OCP cycles show that the CMP process does not introduce any irreversible effects. Assuming that the OCP response of the CMP interface is essentially instantaneous, the plots in Figure 8 show that the growth of the CMP-specific surface film is slower in the case of Cu compared to that of Mo. Additionally, the mostly time-invariant OCPs observed in the P cycles suggest that the mechanical removal of the surface films for both Mo and Cu occurs in a steady state; the chemical formation of the structurally weakened material is balanced by its removal with mechanical polishing.
It is useful to note that intermittent OCP transients, such as those considered in Figure 8, generally correspond to variations in the Ac/Aa ratio However, these data do not separately exhibit changes in the individual values of the Ac and Aa. Thus, OCPs collected in a PHT mode do not indicate whether an increased value of the (Ac/Aa) results from a prevailing decrease in the Aa or from a dominant increase in the Ac. To determine these individual roles of anodic and cathodic activities, it is necessary to check PDP plots, where this information is available from the relative shifts in the cathodic and anodic branches measured in response to the activation or deactivation of friction [122].

6.2. Electrochemical Impedance Spectroscopy

While applications of EIS are frequently found in the general literature on tribo-electrochemistry, the use of EIS in CMP-related studies is relatively limited [70,74,196,197,198,199,200]. Validation of EIS data is based on simultaneously fulfilling the criteria of a steady state, causality, linearity, and finiteness; however, under the typical polishing conditions of CMP, often these conditions are not met at the CMP surface due to rapid variations in the mechano-chemical conditions [201]. While certain protocols for handling non-steady-state EIS data have been reported [202], the adaptation of such procedures has not been published so far in EIS studies of CMP interfaces.
For most systems of current interest in metal CMP, adequate EIS results can be collected if the interface is maintained under stationary mechanical conditions at the system’s OCP, either in a pressed-down (P > 0) or lifted-up (P = 0) configuration. Through the CNLS analysis of these stationary EIS data, it is possible to determine the system’s effective solution resistance and the kinetic parameters of the film-forming reactions under a steady state [203]. Although direct mechanical effects of CMP are not accessible to these EIS measurements, some effects of surface modifications due to polishing can be indirectly probed by recording such EIS spectra as a function of the sample immersion time in the CMP slurry [204], or as a function of the incremental intervals after applying mechanical abrasion [205].
Figure 9A shows an example of CMP-related EIS, where stable EIS spectra were recorded in situ during the dynamic polishing of a Cu CMP sample in a malonic acid-containing CMP slurry. This CMP system has been studied previously [74], and the present data show the effects of changing the slurry’s abrasive concentration from (a) 0 to (b) 5 wt% colloidal silica. From a general overview of these data, it is evident that the net impedance (spread of the Nyquist graph) of the CMP surface decreases in the presence of SiO2 abrasives in the slurry. Because impedance is a direct measure of the surface passivity caused by CMP-enabling complexes, according to this observation, the Cu surface subjected to silica-assisted abrasion should be less passive and contain relatively lower coverages of surface films compared to the case of abrasion without SiO2.
The EEC model shown in Figure 9B has been obtained for this system and is explained elsewhere in detail [74]. Briefly, Rs is the usual solution resistance. Qa and Ra denote a constant phase element (CPE) and a resistance to the nonfaradaic adsorption of the anions in the system; ia is the adsorption current; ic and if, respectively, are the currents of faradaic polarization and the capacitive charge/discharge of the Qa. At the OCP, these are alternating current signals resulting from the periodic potential perturbation used for EIS. Rp is the polarization resistance for Cu oxidation by H2O2 in the mixed-potential mode, and this resistance is affected due to the dissolution of CuO by malonate ions and mechanical polishing. By examining a full set of EIS results for the Cu–malonate system, and comparing the findings with published information about Cu–malonate complexes [206], it is possible to infer that the removable surface film for the system considered here in Figure 9A is composed of CuMal (Mal ≡ C3H2O4), chemically formed as follows: CuO + Mal2− + H2O = CuMal + 2OH.

6.3. Detection and Analyses of Site-Blocking Surface Films

EIS performed at the OCP under stationary (non-polish) conditions can provide an effective method to detect the presence of passive surface films that are frequently associated with metal CMP systems. Figure 10A,B schematically illustrate two special cases of these CMP-specific surface films with low porosities, where, in both cases, the reactant transport through the films is diffusion-limited [207]. The solution–metal interface for a porous, ion-“transmitting” film of finite diffusion is considered in Figure 10A. Figure 10B represents a surface film where the film’s density increases in the direction toward the metal and becomes completely blocking or “reflective” at the metal–film boundary.
A representative EEC model of the interface considered in Figure 10A is shown in Figure 10C; this is a slightly generalized version of the standard EEC commonly found in analytical studies of surface coatings [208,209]. The EEC in Figure 10D represents a special case of the surface film in Figure 10B, where the passive layer is spatially discontinuous. In Figure 10C, Qo and Ws represent a CPE and a short-circuit Warburg element for the porous film, respectively. Rs is the usual solution resistance. The combination of a Qd (double-layer CPE) and Zr (a reaction impedance) denotes the impedance of the WE sample. These impedance elements have similar meanings in the case of Figure 10D, where Wo denotes an open-circuit Warburg element for the surface region containing patches of the reflecting film. The detailed composition of the Zr can broadly vary depending on the system [210]. For a simple mixed-potential reaction supported through pores in a single-component surface film, the Zr can be represented by a polarization or charge-transfer resistance [211].
In Figure 10A, the transmitting surface allows interfacial charge transfer as the ions arrive at the metal surface by diffusion. A non-zero concentration profile of these species exists within the film to sustain the charge-transfer reaction(s). The diffusion impedance in this case is described as a short-circuit Warburg element (Ws), which is also known as a finite-length Warburg element and has an impedance (Z (Ws)) that is expressed as follows [212]:
Z ( W s ) = R 0 ω 0 j ω 1 / 2 tan h j ω ω 0 1 / 2
with R0 and ω0 denoting the resistance and frequency of the diffusion, respectively.   ω 0 = D / l 2 , where D is the diffusion coefficient of the reactant in the surface film; l is the reactants’ diffusion length (effective film thickness). For highly porous films, ( ω / ω 0 ) 0 , so that tan h ( j ω / ω 0 ) 1 / 2     ( j ω / ω 0 ) 1 / 2 and Equation (17) then becomes Z ( W s )     R 0 .
Thus, for large average diameters of the pores and/or for large pore densities, the film impedance in Figure 10C becomes a simple resistance for the transport of reactants through the film. Additionally, if the Zr is composed of a single polarization resistance, the resulting case corresponds to that commonly found in EIS studies of surface coatings; this case has also been detected in EIS data for a metal/alloy CMP system [127].
In the case of Figure 10B, the concentration gradient of the reactants becomes flat due to the lack of their consumption at the metal surface. The electrical equivalence of this reflecting film is the open Warburg element with the following impedance:
Z ( W 0 )     R b ω 0 j ω 1 / 2 cot h j ω ω 0 1 / 2
where the resistance (Rb) can be characterized as a blocking resistance that can have a relatively large value.
Completely surface-blocking films, like that considered in Figure 10B, are not commonly found for metal CMP surfaces. A variation of this case is illustrated using the EEC model in Figure 10D, which is a more likely scenario for a CMP surface involving passive (oxide or corrosion inhibitor) layers. In this case, part of the surface is blocked by the islands of a reflecting film, and the element Wo represents this part. The combination of the R0, Qd, and Zr denotes the impedance of the surface region that is free of the completely site-blocking material. Because this latter region essentially acts as a highly porous transmitting film (with unblocked sectors serving as widely open pores), the transport of reactants through this region is represented by the diffusion resistance (R0) considered in Equation (17).
Figure 11 shows examples of Nyquist impedance spectra recorded for a Mo disc sample in an experiment that corresponds to the situation considered in Figure 10A. The inset shows the EEC model of the CMP interface, which is a special case of Figure 10C. The CMP solutions consist of 0.1 M KNO3 and 20 mM SPC at the pH stings of 6 and 7. KNO3 serves as a background electrolyte and a complexing agent for anion (NO3) incorporation into MoO2 surface layers, and this oxide species is formed due to the strong oxidizing function of SPC. Partial dissolution of the oxide film maintains the film’s porosity.
The impedance elements Qo and Ws in Figure 11 represent the ion transmissive oxide film in the description of Figure 10C. In the transition from pH = 6 to pH = 7, the predominant surface species of the Mo sample changes from quasi-passive MoO2 to MoO42−, a dissolved form of the oxide. Through this dissolution, the overall impedance of the oxidized Mo surface drops (the Nyquist impedance spectrum shrinks) as the slurry pH is increased from 6 to 7. The double-layer CPE (denoted as Qd in Figure 10C) simplifies to a simple capacitance (Cd) in Figure 11. The CNLS-analyzed values of the impedance elements (details not included here) indicate that the lowering of the surface impedance from plots (a) to (b) originates from corresponding reductions in the values of the R0 (in the Ws) and Rp.

6.4. Tribo-Potentiodynamic Measurements

While most of the published CMP-related PDP experiments have been traditionally performed in conventional three-electrode test cells, efforts to combine PDP/LSV with CMP-specific tribology have also been reported from several laboratories [114,115,117,119,200,213,214]. The main utility of the polarization data obtained from these measurements is that they provide a comparative, analytical framework for checking how the key corrosion parameters for surface modification in the CMP are affected by the tribology and chemistry of the CMP. In combination with intermittent PHT-OCP data, tribo-PDP results can identify the anodic vs. cathodic selectivity of CMP reactions in response to the application or withdrawal of surface friction [122,215]. This type of information is useful for choosing application-specific slurry additives with tailored reaction selectivities.
In PDP experiments, the D.C. response of the EEC of a CMP interface is generally dominated by a solution resistance, double-layer capacitance, and polarization resistance [178]. For instance, in the case of Figure 10B, such a situation arises if the real part of the combined adsorption impedance including the Qa and Ra is large compared to the Rp, and the imaginary part of this adsorption impedance is large compared to that of the Qd. Under these conditions, the double-layer CPE adds a background charging current of the form [178] i c = C d eff 1     R s σ p υ , where σ p is the polarization conductance of the CMP surface; σ p = ( d i / d E ) ; υ is the voltage scan rate of the PDP; and C d eff is an effective double-layer capacitance, defined as follows:
C d eff =   Y d 1 / d R s 1 + R p 1 ( d 1 ) / d
with Y d and d denoting the frequency-independent CPE parameters of the Qd [178].
Because the values of both the   C d eff and R s   tend to be relatively large for metal CMP interfaces, both these parameters can introduce artifacts into PDP measurements involving such systems. A large value of the C d eff can add a non-negligible double-layer current to the polarization current, and a large value of the Rs can introduce a sizable ohmic potential contribution (IRs) to the measured electrode current. Artifacts of double-layer charging can be minimized by choosing low PDP scan speeds; the procedures for performing this test have been discussed [178].
Depending on the configuration of the electrochemical test cell used, the solution resistances (Rs) of typical CMP slurries can vary in the 50–100 Ω cm2 range [127,196,216,217]. For an electrode current ranging up to 1 mA cm−2 during a voltage scan, the upper bound of the ohmic potential for a solution resistance of 100 Ωcm2 is 0.1 V. For such non-negligible values of the Rs, correcting for the IRs drop may become essential to the processing of tribo-PDP data—although explicit mention of this point is rarely found in CMP-related electrochemical studies.
Demonstrative PDP data showing the importance of ohmic correction are presented in Figure 12 for a Cu CMP system using a slurry solution of SPC (oxidizer) and citric acid (complexing agent). Separately measured Rs values for the pressed-down CMP surface in the stationary-hold and polishing situations are noted in the figure. In both panels, PDP plots with and without ohmic corrections are compared, and the corresponding corrosion current densities determined by Tafel extrapolation are listed. The extent of this correction depends on the Tafel slopes, and, as shown in Figure 12A, the ohmic effects on the values of the icorr are rather pronounced here for the hold case.
Figure 13 shows an illustrative set of PDP results that help to reinforce the CMP mechanisms proposed for a Cu-based system in the context of Figure 8B. The slurry solution is identified in the caption of Figure 8B. Plot (a) in Figure 13 was collected under polishing without the complexing agent (citric acid (CA)) in the slurry. As noted in the discussion of Figure 8B, the H2O2 in the solution generates anodically inhibiting CuO at the Cu surface. The polishing used for Figure 13 (a) without a complexing agent in the slurry is inefficient to remove this CuO [117]. When present in the slurry, Cit3− anions from CA act to dissolve the CuO adsorbates (as noted in the context of Figure 5). Consequently, the anodic current branch of plot (b) in Figure 13 recorded in the absence of polishing but in the presence of CA shifts to higher currents compared to the anodic branch in plot (a). At the same time, the cathodic branch of plot (b) considerably shifts to the left of plot (a) indicating the strong chemisorption of cathodically inhibiting HCit 2−. The net effect of these anodic and cathodic changes is exhibited in the lowered values of both the icorr and Ecorr found in the transition from plot (a) to (b).
Plot (c) in Figure 13 was collected under polishing and with CA present in the solution. Because the anodic current branches of plots (a) and (b) are observed at mutually comparable currents, it is evident, at least for the CMP system examined here, that the anodically inhibiting CuO can be chemically removed to a large extent, even without polishing the Cu surface. Polishing, however, exhibits a strong function of removing the cathodically inhibiting surface species of HCit2−, as the cathodic branch of plot (c) moves to higher currents compared to the cathodic branch of plot (b). Correspondingly, there is a strong increase in the icorr, coupled with a corresponding anodic shift in the Ecorr, in going from plot (b) to (c). Notably, this shift in the Ecorr is consistent with the OCP data trend observed in Figure 8B.
Aside from their use in the measurement of corrosion variables, PDP plots are frequently used for evaluating the galvanic corrosion characteristics of bimetallic contacts in the reactive slurries of metal CMP. These types of measurements are generally performed separately using individual samples of the anode and cathode materials of such a couple. The two recorded plots are then combined to check the difference ( Δ E corr ) found between the corrosion potentials of the anode and cathode materials. A gap of 10–20 mV (below the thermal voltage) between the two corrosion potentials is generally accepted as a reasonable value to avoid or minimize galvanic corrosion.
The aforesaid PDP approach to assessing galvanic corrosion overlooks a very relevant factor, namely, the ratio of the anodic-to-cathodic areas at the galvanic contact. This area ratio is device/feature-specific and nearly impossible to assess using benchtop models of un-patterned CMP surfaces. For this reason, and as noted previously [218,219], it is difficult to precisely analyze CMP-related galvanic corrosion rates within the limits of such model-based experiments. Nevertheless, due to its convenient feature of accessing the Δ E corr variable, the PDP method has been a traditional practice in electrochemical studies of metal CMP to examine the galvanic corrosion-preventing characteristics of polishing slurries. In view of the above considerations, PDP-based evaluations of galvanic corrosion in the CMP context should strictly be considered as qualitative tests.

6.5. Tribology-Coupled Chronoamperometry

Chronoamperometry is a useful method for studying the kinetics of the formation and removal of CMP-supporting surface films [147]. In this method, a potential step is applied from the OCP to a cathodic or anodic overpotential to activate an oxidation or reduction reaction of a mixed-potential CMP system. The resulting current transients are analyzed using appropriate theoretical models to examine the time-dependent formation or removal of the reaction products.
Figure 14 displays illustrative results of tribo-chronoamperometric experiments for a test system of Cu CMP using malonic acid as a complexing agent and SPC as an oxidizer. The strategic background of these experiments is briefly summarized below from a more detailed study of this system [74]. The main cathodic step in the mixed-potential mechanism of surface film formation for this system is H2O2 reduction. The anodic step of the mixed reaction is that of CuO formation: Cu + 2 O H = CuO + H 2 O + 2 e , with a net reaction: Cu + H2O2 = CuO + H2O. At slurry pH values ≥ 6.5, Mal2− is the prevailing malonate species, which chemically modifies the CuO layers to structurally weaker and electrochemically passive complex layers of CuMal (discussed in the context of Figure 9A). This CuMal becomes the mechanically removable primary surface material here. Because CuO is the precursor species to the CMP-specific CuMal film, a measurable signal of surface modification is expected if an electrochemical perturbation is applied to either the anodic or cathodic component of the CuO formation.
In the experiment for Figure 14, a cathodic voltage step of −0.1 V was applied at an instant (ti) using tribo-chronoamperometry. Throughout these experiments, the Cu surface was kept pressed onto an IC 1000 pad at a down-pressure of 0.014 MPa, intermittently polished for 4 min, and kept under stationary hold for 4 min. These mechanical perturbations resulted in the rising and decaying current transients. The polish cycles can be identified by their signature tribo-noise superimposed onto the measured currents. The rising and declining current profiles observed, respectively, during polishing and stationary hold correspondingly imply increasing surface activity due to the abrasion-mediated removal of CuMal and decreasing activity due to the regrowth of this species during the hold stage.
The left and right insets in each main panel of Figure 14 show selected data (dark lines) for the hold stage and polish stage, respectively. The red lines are calculated fits based on a phenomenological model characterizing the growth and removal of CuMal surface films during the hold and polish stages, respectively. This phenomenological model is described in Shen et al.’s earlier work [74], which indicated a common mechanism of surface film formation/removal found for both the anodic and cathodic potential steps. This observation confirms the mixed-potential-based proposal for a CMP mechanism in which both the anodic and cathodic steps operate in the formation of removable surface films. Similar results of chronoamperometry aimed at probing the de-passivation and re-passivation mechanisms of CMP-related surface films have been reported by other authors [220,221].

6.6. Tribology-Controlled Chronopotentiometry

The currently available literature on CMP does not indicate any significant use of chronopotentiometry (CP)—although the current interruption method, a variation of CP, has been frequently used to determine solution resistances in tribo-electrochemical test cells. In traditional CP experiments, a current step is applied to the WE, and the applied current is maintained for a preset interval before it is switched off [222,223,224]. The corresponding voltage response of the WE is analyzed in the framework’s Sand’s equation to examine the kinetic features of the surface reactions activated by the current step. The applied current (I) of the CP can be anodic or cathodic, depending on the nature (oxidative or reductive) of the reaction being studied. For CMP applications, an anodic current step in the CP is generally preferred, as the material removal is typically linked to the anodic components of mixed reactions.
In a basic current interruption measurement, a constant current (I) is applied to the test surface for a relatively shorter time than those used in traditional CP. Thus, in interruption experiments, the applied current is terminated before the completion of a full “transition time”. The main application of current interruption experiments in the CMP context is to determine the solution resistance and effective double-layer capacitance (Ceff) of the CMP interface under polishing conditions, especially in situations in which the EIS measurements of these parameters are not feasible due to non-steady-state surface conditions and/or the interference of tribo-noise.
The Ceff is necessary, for instance, to optimize the voltage scan rates while avoiding the possible artifacts of double-layer currents in tribo-PDP measurements [178]. This capacitance also serves as a useful indicator of the thickness and the reactivity of the lubricant slurry film formed between a pad and CMP surface. Additionally, current interruption experiments can provide an estimate of the excess charge on a metal surface, and this information can help to assess the adsorption characteristics of ionic reactants at a metal surface in the CMP environment.
Measurements of solution resistances for CMP test cells by using the current interruption technique have been demonstrated previously [74,121,201]. Figure 15 presents new results of current interruption experiments illustrating another important application of this technique to determine the effective capacitances of a tribology-controlled interface for Mo-CMP in a citric acid-based slurry. A constant current (I) is applied in the galvanostatic mode to the sample slurry interface for ~120 s, and then the current is abruptly turned off at an instant (t0).
Figure 15A displays the chronopotentiometric potential transients recorded for a Mo disc of a 2.54 cm diameter. An anodic current of 1 mA was switched off at an instant (t0) after being applied to the CMP interface for 120 s. The electrode potential instantaneously dropped from E 1 ( I = 1   mA ) to E 2 ( I = 0   mA ) at t0. Subsequently, the electrochemical double layer discharged with an accompanying decay of the electrode potential according to [10]:
E   = E 2 exp [ ( t   t 0 ) / τ eff ] + E oc
where E oc represents a steady-state background for the open-circuit potential. τ eff is the effective time constant of the CMP test cell ( τ eff =   R t C eff ). The potential difference ( E 1   E 2 ) provides a measure of the solution resistance: R s = ( E 1   E 2 ) / I . The pre-interruption excess charge at the electrode surface is on the order of   E 1 C eff .
Figure 15B shows a segment of 0.1 s after current interruption, where the experimental data are fitted to Equation (20) to determine the τ eff , E2, and Eoc from the calculated fits. The parameters obtained from these fits are as follows: Eoc (mV) = (a) −117 and (b) −151; E2 (mV) = (a) 30.5 and (b) 36.4; τeff (ms) = (a) 72 and (b) 299. For further analysis, by combining these results with those for the Rp obtained from LPR measurements, it is possible to determine the C eff . The results can also be compared with those of the EIS if the parameters necessary to independently calculate the C eff using Equation (19) are available.

7. Effects of Electrochemical Measurement Conditions on Corrosion Variables of Metal CMP Systems

7.1. General Considerations

Tribo-electrochemical measurements aimed at evaluating CMP interfaces should ideally have analytical utilities in the general class of nondestructive evaluations. A noninterfering role of these experiments is largely maintained in the experiments performed under the OCP or low electrical perturbations (for EIS, OCP transients, and LPR), where the tests themselves do not significantly affect the interface while probing the CMP-enabling reactions. However, depending on the experimental system, certain effects of the measurements may be unavoidable in the recorded data when the perturbation energy of an external voltage (in CV, LSV, and chronoamperometry) or current (in chronopotentiometry) considerably exceeds the ambient thermal energy (RT). To fully assess the implications of the results in the latter cases, it is useful to determine the extent to which the recorded variables are affected by the measurement-specific conditions. Such tests are substantially facilitated if the affected corrosion variables can be measured using alternative techniques under OCP conditions. Certain essential elements of these analyses are discussed in this section using illustrative results of Rp and Ecorr measurements.
The effects of the measurement conditions have been extensively discussed by previous authors in the context of determining polarization resistances for various corrosion systems [225,226,227]. According to these earlier studies, the voltage-dependent adsorption of the reaction intermediates near the equilibrium potential (Ecorr (Eoc)) can play a significant role in making the values of the corrosion parameters dependent on the experimental method used. As shown by Scully [227], in the presence of intermediate adsorption, the Stern–Geary value of the Rp changes to a value R p / , as follows:
1 R p / = 1 R p + i corr 1 θ a θ a E 1 θ c θ c E
where R p is defined in Equation (16). θ a and θ c are the potential-dependent fractional surface coverages of the anodic and cathodic intermediates, respectively. Depending on the experimental system and measurement conditions, the effective polarization resistance ( R p / ) measured in the presence of adsorption can be rather different from the R p   found in the absence of adsorption. Most CMP-supporting reactions are susceptible to this effect because they typically involve adsorbed intermediates to support various site-specific actions, such as the preferential suppression or promotion of anodic or cathodic processes.
The technique-dependent aspects of measuring corrosion parameters are characterized by the differential terms in Equation (21). For instance, on the one hand, if the polarization resistance is measured by EIS at a fixed OCP, then the value of the measured R p / should be close to that of the   R p , as the differential terms of Equation (21) should be zero in such a case. On the other hand, if the LPR method is used in the framework of Equation (15), and if voltage-dependent adsorption operates, then the differential terms in Equation (21) will likely affect the resulting value of the R p / . These voltage effects will be further different in PDP measurements of polarization resistance using Equation (16) and Tafel extrapolations at extended overpotentials.
Because the interfacial electric field can influence tribo-corrosion [228], and because the surface coverages of the adsorbates depend on the total voltage range scanned for PDP, this voltage range will affect the measurement of the   R p   using Equation (15) or (16). The voltage scan speed used in an LPR or PDP experiment also affects the values of the R p / determined using Equation (15) or Equation (16). Similar considerations for range and rate dependencies will apply to PDP-based measurements of the Ecorr and icorr. Thus, even within a given experimental technique, the measured corrosion parameters can vary with changes in the control parameters. These practical aspects of measuring corrosion variables have been previously discussed [229,230,231,232,233], and it is now broadly recognized that comparing corrosion parameters determined by different methods requires certain considerations to set up a common basis for such comparisons. Some examples of method-dependent corrosion parameters are illustrated next with a focus on CMP-related systems.

7.2. Comparing Polarization Resistances Measured with EIS and LPR

Figure 16 presents the results of polarization resistance measurements for a CMP system involving Cu in a slurry solution using citric acid as a complexing agent. Panel (A) shows LPR data (symbols) for this system, along with a calculated linear fit to the data. The LPR was measured within a voltage range of Ecorr ± 20 mV at a potential scan rate of 5 mV s−1. The inverse slope of the linear plot in (A) yielded a value of 66.2 Ω cm2 for the Rp. A Nyquist impedance plot for the same system recorded at the OCP is displayed in panel B, where the symbols are experimental data, and the line denotes a CNLS fit to the data based on the EEC shown in panel (C).
The resistance identified as the Rp from the EIS in (C) has a value of 11.97 Ω cm2, which is notably different from the Rp found as the LPR in (A). The R0 in (C) has a value of 61.25 Ω cm2. The main reason for the disagreement found between the Rp values in Figure 16A and C can be explained as follows: The EEC in (C) is a special case of the one shown in Figure 10D, with the general impedance (Zr) replaced by the polarization resistances (Rp) of the interfacial charge transfer. While EIS can separate the Rp from the R0, the D.C. measurement of the LPR does not have this resolution. In an LPR experiment, the main current-carrying branch of Figure 16C is the series connection of the R0 and Rp, so that the measured value of the LPR is near that of the R0 + Rp (73.22 Ω cm2) found in the EIS. At the same time, due to the voltage scan used in the LPR experiment, the differential terms in Equation (21) are activated, and the contribution of the Rp to the recorded LPR is consequently changed compared to the case of EIS.
The findings of Figure 16B,C provide an overall description of the sample slurry interface. The Wo detected in the EEC implies that part of the surface is covered with segments of a reflecting film. The parallel branch containing the Rp shows that the unblocked part of the surface supports charge-transfer reactions. The Ro found in series with the Rp indicates how the transport of ions to the reaction site is affected by the presence of passive patches on the surface. Because the R0 is a special case of the Z(Ws) in the high porosity limit, it is evident that the openings between site-blocking surface patches are detected as a collection of relatively wide cracks and pores in a passive film.

7.3. Comparing Open-Circuit Potentials and Corrosion Potentials

The measurement of the Eoc corresponds to a two-electrode situation (with the CE disconnected) in the absence of an external voltage, whereas the Ecorr is commonly measured using the three-electrode technique of PDP in the presence of an externally scanned voltage. Due to these different protocols used in the measurements of the Ecorr and Eoc, the values of these variables obtained for a given system under a certain set of chemical conditions can be different, even though these two parameters are theoretically equivalent [151]. While, in most cases, the chemistry- and/or tribology-dependent trends of the Ecorr and Eoc are largely maintained between the parameters, the discrepancies in their absolute values mostly arise from the voltage-induced adsorption/desorption of surface species [as noted in Equation (21)], which especially affects the PDP-based measurement of the Ecorr.
Figure 17 illustrates a comparative data set of the Ecorr and Eoc for a trial system of Mo CMP using (A) 0 or (B) 0.1 M of citric acid as a complexing agent, 20 mM of SPC as an oxidizer, and 0.1 M of KNO3 as a conductivity booster in a slurry solution at pH = 6. The experiments were performed to examine how the system’s equilibrium potential, measured in the forms of both the Ecorr and Eoc, would change because of surface polishing with and without 3 wt% silica abrasives in the slurry. Under stationary hold (sample pressed onto the pad at 0.014 MPa), the Mo surface was largely covered with a porous surface film of mixed composition containing MoO2 and MoO3 in both (A) and (B), along with a Mo-citrate species in case (B), where the pores formed due to the partial dissolution of the oxides in the form of MoO42−. The measurement conditions and the values of the plotted variables are indicated in the figure.
The general trends of the Ecorr and Eoc data remain mostly in agreement with each other, as the slurry’s silica content and the polishing vs. hold condition are changed. To explain these trends, we note that the surface films are anodically passivating; as these films are removed by abrasion, the equilibrium potentials drop according to Equation (13). The addition of citric acid to the slurry boosts this process by further weakening the structure of the porous surface layers. SiO2 has a measurable effect on the Eoc values, and this can be attributed to the interactions of H2O2 that specifically adsorb onto the SiO2 particles [234].

8. Summary and Outlook

Rapidly advancing technology nodes have placed new demands on the current practice of CMP, which is a critical processing component of IC fabrication. The tasks of addressing these demands build upon advancing the designs of efficient CMP consumables. Because electrochemical reactions largely dictate the CMP chemistries of metals and alloys, electrochemical tools are naturally suited to assess and refine these chemistries. However, to maintain the tribological attributes of CMP in such experiments, it is necessary to perform the tests under the essential mechanical conditions of planarization. With illustrative experimental results, the present work reviews the experimental considerations and analytical basis of CMP-specific tribo-electrochemical measurements.
The corrosion-like mechanistic aspect of metal CMP is outlined here in a simple framework of mixed-potential theory. The Tafel formalism is used to correlate the main corrosion parameters of metal CMP with the variables of typical characterization tests. These corrosion parameters serve as key indicators of the mechanisms and rates of material removal. Specific procedures have been discussed that are aimed at checking the effects of the CMP tribology (friction, lubrication, and hydrodynamics) on the measured values of the corrosion parameters that are relevant for CMP applications.
Experimental results, including several new findings, are discussed to illustrate how CMP-related variables measured under tribological control can be utilized to examine the interplay of chemistry and mechanical friction/lubrication in metal CMP. Model systems, mimicking certain features of an actual CMP interface, are used in these experiments. Published findings of similar experiments reported by other groups are discussed. It is shown how certain signature parameters of CMP systems may exhibit technique-dependent variations in their values; possible reasons for these variations are also discussed.
The experimental data discussed in this report demonstrate a range of applications of the PDP, EIS, OCP, chronoamperometric, and chronopotentiometric techniques, all carried out in the presence of surface polishing. The instrumental considerations, utilities, practical constraints, and data analysis protocols for these in situ tribo-electrochemical measurements are discussed. Because PDP is frequently used for the electrochemical characterization of CMP systems, the experimental considerations for using this method in the presence of surface polishing are discussed in detail with representative data. The discussions illustrate how the surface films serving as the removable material under abrasion can be analyzed using EIS. Specific roles of competing surface processes in supporting CMP reactions can be determined by combining mutually complementary techniques. A prominent example of this strategy is that of combining the results of OCP transients and PDP to determine the relative strengths of the oxidative and reductive steps in CMP-enabling surface reactions.
Although electrochemical techniques are widely used to investigate the chemical mechanisms of metal CMP, multiple experiments have shown that the results of such measurements can be quite different depending on whether the measurements are carried out in the presence or absence of mechanical effects. These observations underscore the utility of tribology-coupled in situ electrochemical measurements for studying metal CMP systems. It is expected that applications of this type of experiment in the field of CMP research will expand further as new materials continue to be incorporated into the processing schemes and the associated surface chemistries continue to evolve with additional complexities.

Author Contributions

Experiments and data curation, K.G. and D.R.; methodology, D.R.; writing—original draft, D.R. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

Not applicable.

Acknowledgments

The authors thank Shen Wei for the technical assistance and gratefully acknowledge his sharing of the experimental data in Figure 9 and Figure 14 for this review.

Conflicts of Interest

The authors declare no conflicts of interest.

Nomenclature

BESurface-binding energy of an adsorbed species
BEOLBack end of line
BTABenzotriazole
CBCarbon brush
CECounter electrode
CMPChemical–mechanical planarization
CNLSComplex nonlinear least square
CPEConstant phase element
CRCorrosion rate
EECElectric equivalent circuit
EISElectrochemical impedance spectroscopy
FEOLFront end of line
LOESSLocally estimated scatterplot smoothing
LOWESSLocally weighted scatterplot smoothing
LPRLinear polarization resistance
LSVLinear sweep voltammetry
MOLMiddle of line
MRRMaterial removal rate
OCPOpen-circuit potential
PCMPCPost-chemical–mechanical-planarization cleaning
PDPPotentiodynamic polarization
PHTPolish-vs.-hold transients
REReference electrode
SBSalt bridge
SCESaturated calomel electrode
SFRSlurry flow rate
SPCSodium percarbonate
SWRSpecific wear rate
TCRTribo-corrosion rate
WEWorking electrode
WWNUWithin-wafer nonuniformity
AaPartial surface area of anodic oxidation reaction
AcPartial surface area of cathodic reduction reaction
AeffEffective area for electrochemical reactions
AfContact area of friction
baAnodic Tafel slope
bcCathodic Tafel slope
CdeffEffective double-layer capacitance
CeffEffective capacitance
DDiffusion coefficient
EPotential
EaExternal anodic potential
EBNotation for surface-binding energy (BE) of an adsorbed species
EcExternal cathodic potential
EcorrCorrosion potential
EfrFriction energy
EocOpen-circuit potential
EraReversible anodic Nernst potential
ErcReversible cathodic Nernst potential
FFaraday constant
HMaterial hardness
ICurrent
iCurrent density
IaAnodic current
iaArea-normalized anodic current
IcCathodic current
icArea-normalized cathodic current density
IcorrCorrosion current
icorrCorrosion current density
ifFaradaic current density
IraReversible anodic Nernst current
iraReversible anodic Nernst current density
IrcReversible cathodic Nernst current
ircReversible cathodic Nernst current density
k0Equilibrium constant
KaArchard wear coefficient
kBBoltzmann constant
KPPreston coefficient
lEffective film thickness or diffusion length of ions
MmMolecular weight of the CMP metal
PDown pressure
pPorosity of the film
Q0Surface film constant phase element
QaConstant phase element for nonfaradaic adsorption of anions
QdDouble-layer constant phase element (CPE)
RGas constant
R0Diffusion resistance for a transmissive surface film
RaResistance element for nonfaradaic adsorption of anions
RbBlocking resistance of a reflective surface film
RPPolarization resistance
RpModified polarization resistance in the presence of adsorption
RsSolution resistance
TTemperature
VVelocity maintained between pad and wafer
WsShort-circuit Warburg element
Yd, dFrequency-independent constant-phase-element (CPE) parameters
zElectron valency of mixed reaction
αaAnodic transfer coefficient
αcCathodic transfer coefficient
βaAnodic symmetry factor
βaCathodic symmetry factor
ΔEcorrCorrosion potential difference between anode and cathode materials
ΔErDifference between reversible anodic and cathodic Nernst potentials
ηaAnodic overpotential
ηcCathodic overpotential
θaPotential-dependent fractional surface coverages of anodic intermediates (at Aa)
θcPotential-dependent fractional surface coverages of cathodic intermediates (at Ac)
μeffEffective coefficient of friction of a CMP interface
ρmDensity of the CMP metal
σpPolarization conductance of CMP surface
ωApplied frequency
ω0Frequency of diffusion
ΩhAngular speed of sample holder
ΩpAngular speed of rotating platen
τ effEffective time constant of the CMP test cell
υ Voltage scan rate

References

  1. Seo, J. A review on chemical and mechanical phenomena at the wafer interface during chemical mechanical planarization. J. Mater. Res. 2020, 36, 235–257. [Google Scholar] [CrossRef]
  2. Peethala, C.B.; Kelly, J.J.; Canaperi, D.F.; Krishnan, M.; Nogami, T. Wet Chemical Processes for BEOL Technology. In Springer Handbook of Semiconductor Devices; Springer: Berlin/Heidelberg, Germany, 2022; pp. 219–257. [Google Scholar]
  3. Yan, H.; Niu, X.; Qu, M.; Luo, F.; Zhan, N.; Liu, J.; Zou, Y. A review: Research progress of chemical–mechanical polishing slurry for copper interconnection of integrated circuits. Int. J. Adv. Manuf. Technol. 2023, 125, 47–71. [Google Scholar] [CrossRef]
  4. Krishnan, M.; Nalaskowski, J.W.; Cook, L.M. Chemical Mechanical Planarization: Slurry Chemistry, Materials, and Mechanisms. Chem. Rev. 2009, 110, 178–204. [Google Scholar] [CrossRef]
  5. Thakurta, D.G.; Borst, C.L.; Schwendeman, D.W.; Gutmann, R.J.; Gill, W.N. Three-dimensional chemical mechanical planarization slurry flow model based on lubrication theory. J. Electrochem. Soc. 2001, 148, G207. [Google Scholar] [CrossRef]
  6. Zhou, P.; Kang, R.K.; Jin, Z.J.; Guo, D.M. Simulation of CMP process based on mixed elastohydrodynamic lubrication model with layered elastic theory. Adv. Mater. Res. 2012, 565, 330–335. [Google Scholar] [CrossRef]
  7. Higgs, C.F.; Ng, S.H.; Borucki, L.; Yoon, I.; Danyluk, S. A mixed-lubrication approach to predicting CMP fluid pressure modeling and experiments. J. Electrochem. Soc. 2005, 152, G193. [Google Scholar] [CrossRef]
  8. Liang, H. Chemical boundary lubrication in chemical–mechanical planarization. Tribol. Int. 2005, 38, 235–242. [Google Scholar] [CrossRef]
  9. Roy, D. Perspective—Electrochemical Assessment of Slurry Formulations for Chemical Mechanical Planarization of Metals: Trends, Benefits and Challenges. ECS J. Solid State Sci. Technol. 2018, 7, P209–P212. [Google Scholar] [CrossRef]
  10. Sulyma, C.M.; Goonetilleke, P.C.; Roy, D. Analysis of current transients for voltage pulse-modulated surface processing: Application to anodic electro-dissolution of copper for electrochemical mechanical planarization. J. Mater. Process. Technol. 2009, 209, 1189–1198. [Google Scholar] [CrossRef]
  11. Jeong, S.; Joo, S.; Kim, H.; Kim, S.; Jeong, H. Effect on two-step polishing process of electrochemical mechanical planarization and chemical–mechanical planarization on planarization. Jpn. J. Appl. Phys. 2009, 48, 066512. [Google Scholar] [CrossRef]
  12. Economikos, L. Planarization Technologies Involving Electrochemical Reactions. In Microelectronic Applications of Chemical Mechanical Planarization; John Wiley & Sons: Hoboken, NJ, USA, 2007; p. 319. [Google Scholar]
  13. Mohammad, A.E.K.; Wang, D. Electrochemical mechanical polishing technology: Recent developments and future research and industrial needs. Int. J. Adv. Manuf. Technol. 2016, 86, 1909–1924. [Google Scholar] [CrossRef]
  14. Kulkarni, M.; Gao, F.; Liang, H. Chemical-mechanical polishing (CMP): A controlled tribocorrosion process. In Tribocorrosion of Passive Metals and Coatings; Elsevier: Amsterdam, The Netherlands, 2011; pp. 498–518e. [Google Scholar]
  15. Kaufman, F.; Thompson, D.; Broadie, R.; Jaso, M.; Guthrie, W.; Pearson, D.; Small, M. Chemical-mechanical polishing for fabricating patterned W metal features as chip interconnects. J. Electrochem. Soc. 1991, 138, 3460. [Google Scholar] [CrossRef]
  16. Yagan, R.; Basim, G.B. A Fundamental Approach to Electrochemical Analyses on Chemically Modified Thin Films for Barrier CMP Optimization. ECS J. Solid State Sci. Technol. 2019, 8, P3118. [Google Scholar] [CrossRef]
  17. Choi, S.; Tripathi, S.; Dornfeld, D.A.; Doyle, F.M. Copper CMP modeling: Millisecond scale adsorption kinetics of BTA in glycine-containing solutions at pH 4. J. Electrochem. Soc. 2010, 157, H1153. [Google Scholar] [CrossRef]
  18. Paul, E. A model of chemical mechanical polishing. J. Electrochem. Soc. 2001, 148, G355. [Google Scholar] [CrossRef]
  19. Zhao, Y.; Chang, L.; Kim, S. A mathematical model for chemical–mechanical polishing based on formation and removal of weakly bonded molecular species. Wear 2003, 254, 332–339. [Google Scholar] [CrossRef]
  20. Beverskog, B.; Puigdomenech, I. Revised Pourbaix diagrams for nickel at 25–300 °C. Corros. Sci. 1997, 39, 969–980. [Google Scholar] [CrossRef]
  21. Barney, J.E.; Argersinger, W.J., Jr.; Reynolds, C. A Study of Some Complex Chlorides and Oxalates by Solubility Measurements1. J. Am. Chem. Soc. 1951, 73, 3785–3788. [Google Scholar] [CrossRef]
  22. Stojadinović, J.; Bouvet, D.; Mischler, S. Prediction of removal rates in chemical–mechanical polishing (CMP) using tribocorrosion modeling. J. Bio-Tribo-Corros. 2016, 2, 8. [Google Scholar] [CrossRef]
  23. Datta, D.; Rai, H.; Singh, S.; Srivastava, M.; Sharma, R.K.; Gosvami, N.N. Nanoscale tribological aspects of chemical mechanical polishing: A review. Appl. Surf. Sci. Adv. 2022, 11, 100286. [Google Scholar] [CrossRef]
  24. Zhong, Z.-W. Recent developments and applications of chemical mechanical polishing. Int. J. Adv. Manuf. Technol. 2020, 109, 1419–1430. [Google Scholar] [CrossRef]
  25. Lee, H.; Lee, D.; Jeong, H. Mechanical aspects of the chemical mechanical polishing process: A review. Int. J. Precis. Eng. Manuf. 2016, 17, 525–536. [Google Scholar] [CrossRef]
  26. Luo, J.; Dornfeld, D.A. Material removal mechanism in chemical mechanical polishing: Theory and modeling. IEEE Trans. Semicond. Manuf. 2001, 14, 112–133. [Google Scholar]
  27. Wu, C.; Liao, X. Lubrication in Chemical and Mechanical Planarization; IntechOpen: London, UK, 2016. [Google Scholar]
  28. Kasai, T.; Bhushan, B. Physics and tribology of chemical mechanical planarization. J. Phys. Condens. Matter 2008, 20, 225011. [Google Scholar] [CrossRef]
  29. Joo, S.; Liang, H. Tribology in Chemical–Mechanical Planarization. In Tribology for Scientists and Engineers: From Basics to Advanced Concepts; Springer: Berlin/Heidelberg, Germany, 2013; pp. 747–782. [Google Scholar]
  30. Hong Liang, D.C. Tribology in Chemical-Mechanical Planarization; CRC Press: Boca Raton, FL, USA, 2005. [Google Scholar]
  31. Luo, J.; Dornfeld, D.A. Integrated Modeling of Chemical Mechanical Planarization for Sub-Micron IC Fabrication: From Particle Scale to Feature, Die and Wafer Scales; Springer Science & Business Media: Berlin/Heidelberg, Germany, 2004. [Google Scholar]
  32. Jairath, R.; Pant, A.; Mallon, T.; Withers, B.; Krusell, W. Linear planarization for CMP. Solid State Technol. 1996, 39, 107–112. [Google Scholar]
  33. Tseng, W.T.; Chin, J.H.; Kang, L.C. A comparative study on the roles of velocity in the material removal rate during chemical mechanical polishing. J. Electrochem. Soc. 1999, 146, 1952. [Google Scholar] [CrossRef]
  34. Philipossian, A.; Olsen, S. Fundamental tribological and removal rate studies of inter-layer dielectric chemical mechanical planarization. Jpn. J. Appl. Phys. 2003, 42, 6371. [Google Scholar] [CrossRef]
  35. Kwon, T.-Y.; Ramachandran, M.; Cho, B.-J.; Busnaina, A.A.; Park, J.-G. The impact of diamond conditioners on scratch formation during chemical mechanical planarization (CMP) of silicon dioxide. Tribol. Int. 2013, 67, 272–277. [Google Scholar] [CrossRef]
  36. Luo, Q.; Ramarajan, S.; Babu, S. Modification of the Preston equation for the chemical–mechanical polishing of copper. Thin Solid Film. 1998, 335, 160–167. [Google Scholar] [CrossRef]
  37. Wallburg, F.; Kuna, M.; Budnitzki, M.; Schoenfelder, S. A material removal coefficient for diamond wire sawing of silicon. Wear 2022, 504, 204400. [Google Scholar] [CrossRef]
  38. Zhao, B.; Shi, F. Chemical mechanical polishing in IC processes: New fundamental insights. In Proceedings of the Proceedings of the Fourth International Chemical Mechanical Planarization for ULSI Multilevel Interconnection Conference, Santa Clara, CA, USA, 11–12 February 1999. [Google Scholar]
  39. Park, B.; Jeong, S.; Lee, H.; Kim, H.; Jeong, H.; Dornfeld, D.A. Experimental investigation of material removal characteristics in silicon chemical mechanical polishing. Jpn. J. Appl. Phys. 2009, 48, 116505. [Google Scholar] [CrossRef]
  40. Choi, W.; Abiade, J.; Lee, S.-M.; Singh, R.K. Effects of slurry particles on silicon dioxide CMP. J. Electrochem. Soc. 2004, 151, G512. [Google Scholar] [CrossRef]
  41. Noh, K.; Lai, J.-Y.; Saka, N.; Chun, J.-H. Mechanics, Mechanisms and Modeling of the Chemical Mechanical Polishing Process. DSpace@MIT. 2002. Available online: https://dspace.mit.edu/handle/1721.1/4032 (accessed on 10 November 2023).
  42. Hashimoto, Y.; Furumoto, T.; Sato, T.; Suzuki, N.; Yasuda, H.; Yamaki, S.; Mochizuki, Y. Novel method to visualize Preston’s coefficient distribution for chemical mechanical polishing process. Jpn. J. Appl. Phys. 2022, 61, 116502. [Google Scholar] [CrossRef]
  43. Johnson, C.; Wei, S.; Roy, D. An Alkaline Slurry Design for Co-Cu CMP Systems Evaluated in the Tribo-Electrochemical Approach. ECS J. Solid State Sci. Technol. 2018, 7, P38–P49. [Google Scholar] [CrossRef]
  44. Kim, H.; Jeong, H. Effect of process conditions on uniformity of velocity and wear distance of pad and wafer during chemical mechanical planarization. J. Electron. Mater. 2004, 33, 53–60. [Google Scholar] [CrossRef]
  45. Zhao, D.; He, Y.; Wang, T.; Lu, X. Effect of kinematic parameters and their coupling relationships on global uniformity of chemical-mechanical polishing. IEEE Trans. Semicond. Manuf. 2012, 25, 502–510. [Google Scholar] [CrossRef]
  46. Patrick, W.J.; Guthrie, W.L.; Standley, C.L.; Schiable, P.M. Application of Chemical Mechanical Polishing to the Fabrication of VLSI Circuit Interconnections. J. Electrochem. Soc. 1991, 138, 1778–1784. [Google Scholar] [CrossRef]
  47. Hocheng, H.; Tsai, H.; Tsai, M. Effects of kinematic variables on nonuniformity in chemical mechanical planarization. Int. J. Mach. Tools Manuf. 2000, 40, 1651–1669. [Google Scholar] [CrossRef]
  48. Ilie, F.; Minea, I.-L.; Cotici, C.D.; Hristache, A.-F. The Effects of Friction and Temperature in the Chemical–Mechanical Planarization Process. Materials 2023, 16, 2550. [Google Scholar] [CrossRef]
  49. Zhao, D.; He, Y.; Wang, T.; Lu, X.; Luo, J. Effects of the polishing variables on the wafer-pad interfacial fluid pressure in chemical mechanical polishing of 12-inch wafer. J. Electrochem. Soc. 2012, 159, H342. [Google Scholar] [CrossRef]
  50. Tucker, T. Equipment used in cmp processes. In Chemical-Mechanical Planarization of Semiconductor Materials; Springer: Berlin/Heidelberg, Germany, 2004; pp. 133–165. [Google Scholar]
  51. Philipossian, A.; Mitchell, E. Slurry utilization efficiency studies in chemical mechanical planarization. Jpn. J. Appl. Phys. 2003, 42, 7259. [Google Scholar] [CrossRef]
  52. Jo, H.; Lee, D.S.; Jeong, S.H.; Lee, H.S.; Jeong, H.D. Hybrid CMP slurry supply system using ionization and atomization. Appl. Sci. 2021, 11, 2217. [Google Scholar] [CrossRef]
  53. Fujita, T.; Watanabe, J. Slurry supply mechanism utilizing capillary effect in chemical mechanical planarization. ECS J. Solid State Sci. Technol. 2019, 8, P3069. [Google Scholar] [CrossRef]
  54. Cho, Y.; Liu, P.; Jeon, S.; Lee, J.; Bae, S.; Hong, S.; Kim, Y.H.; Kim, T. Simulation and Experimental Investigation of the Radial Groove Effect on Slurry Flow in Oxide Chemical Mechanical Polishing. Appl. Sci. 2022, 12, 4339. [Google Scholar] [CrossRef]
  55. Mu, Y.; Zhuang, Y.; Sampurno, Y.; Wei, X.; Ashizawa, T.; Morishima, H.; Philipossian, A. Effect of pad groove width on slurry mean residence time and slurry utilization efficiency in CMP. Microelectron. Eng. 2016, 157, 60–63. [Google Scholar] [CrossRef]
  56. Borucki, L.; Charns, L.; Philipossian, A. Analysis of frictional heating of grooved and flat CMP polishing pads. J. Electrochem. Soc. 2004, 151, G809. [Google Scholar] [CrossRef]
  57. Liau, L.C.-K.; Lin, K.-M. Effect of slurry flow rates on tungsten removal optimization in chemical mechanical planarization. Microelectron. Reliab. 2023, 146, 115021. [Google Scholar] [CrossRef]
  58. Mudhivarthi, S.; Gitis, N.; Kuiry, S.; Vinogradov, M.; Kumar, A. Effects of slurry flow rate and pad conditioning temperature on dishing, erosion, and metal loss during copper CMP. J. Electrochem. Soc. 2006, 153, G372. [Google Scholar] [CrossRef]
  59. Zhou, C.; Shan, L.; Hight, J.R.; Ng, S.; Danyluk, S. Fluid pressure and its effects on chemical mechanical polishing. Wear 2002, 253, 430–437. [Google Scholar] [CrossRef]
  60. Wang, T.; Zhao, D.; He, Y.; Lu, X. Effect of slurry injection position on material removal in chemical mechanical planarization. Int. J. Adv. Manuf. Technol. 2013, 67, 2903–2908. [Google Scholar] [CrossRef]
  61. Li, J.; Lu, X.; He, Y.; Luo, J. Modeling the chemical-mechanical synergy during copper CMP. J. Electrochem. Soc. 2010, 158, H197. [Google Scholar] [CrossRef]
  62. Zhao, D.; Lu, X. Chemical mechanical polishing: Theory and experiment. Friction 2013, 1, 306–326. [Google Scholar] [CrossRef]
  63. Sun, T.; Zhuang, Y.; Borucki, L.; Philipossian, A. Characterization of pad–wafer contact and surface topography in chemical mechanical planarization using laser confocal microscopy. Jpn. J. Appl. Phys. 2010, 49, 066501. [Google Scholar] [CrossRef]
  64. Gray, C.; White, R.; Manno, V.P.; Rogers, C.B. Simulated effects of measurement noise on contact measurements between rough and smooth surfaces. Tribol. Lett. 2008, 29, 185–192. [Google Scholar] [CrossRef]
  65. White, R.D.; Mueller, A.J.; Shin, M.; Gauthier, D.; Manno, V.P.; Rogers, C.B. Measurement of microscale shear forces during chemical mechanical planarization. J. Electrochem. Soc. 2011, 158, H1041. [Google Scholar] [CrossRef]
  66. Schumacher-Härtwig, H. Characterization of Pad–Wafer Contact Area and Distance in Chemical-Mechanical Polishing. ECS J. Solid State Sci. Technol. 2023, 12, 074002. [Google Scholar] [CrossRef]
  67. Castillo-Mejia, D.; Beaudo, S. A Locally Relevant Prestonian Model for Wafer Polishing. J. Electrochem. Soc. 2004, 150, G96–G102. [Google Scholar] [CrossRef]
  68. Jeng, Y.-R.; Huang, P.-Y. Impact of abrasive particles on the material removal rate in CMP: A microcontact perspective. Electrochem. Solid-State Lett. 2004, 7, G40. [Google Scholar] [CrossRef]
  69. Zhao, Y.; Chang, L. A micro-contact and wear model for chemical–mechanical polishing of silicon wafers. Wear 2002, 252, 220–226. [Google Scholar] [CrossRef]
  70. Keddam, M.; Ponthiaux, P.; Vivier, V. Tribo-electrochemical impedance: A new technique for mechanistic study in tribocorrosion. Electrochim. Acta 2014, 124, 3–8. [Google Scholar] [CrossRef]
  71. Trasatti, S.; Petrii, O. Real surface area measurements in electrochemistry. Pure Appl. Chem. 1991, 63, 711–734. [Google Scholar] [CrossRef]
  72. Connor, P.; Schuch, J.; Kaiser, B.; Jaegermann, W. The determination of electrochemical active surface area and specific capacity revisited for the system MnOx as an oxygen evolution catalyst. Z. Phys. Chem. 2020, 234, 979–994. [Google Scholar] [CrossRef]
  73. Abdelbary, A.; Chang, L. 2—Properties and characteristics of tribo-surfaces. In Principles of Engineering Tribology; Abdelbary, A., Chang, L., Eds.; Academic Press: Cambridge, MA, USA, 2023; pp. 33–75. [Google Scholar]
  74. Wei, S.; Johnson, C.; Roy, D. Probing the Mechanisms of Metal CMP Using Tribo-Electroanalytical Measurements: Results for a Copper/Malonate System. ECS J. Solid State Sci. Technol. 2021, 10, 034001. [Google Scholar] [CrossRef]
  75. Shi, X.; Simpson, D.; Roy, D. Tribo-electrochemical characterization of Ru, Ta and Cu CMP systems using percarbonate based solutions. ECS J. Solid State Sci. Technol. 2015, 4, P5058. [Google Scholar] [CrossRef]
  76. Thakurta, D.G.; Borst, C.L.; Schwendeman, D.W.; Gutmann, R.J.; Gill, W.N. Pad porosity, compressibility and slurry delivery effects in chemical-mechanical planarization: Modeling and experiments. Thin Solid Film. 2000, 366, 181–190. [Google Scholar] [CrossRef]
  77. Sundararajan, S.; Thakurta, D.G.; Schwendeman, D.W.; Murarka, S.P.; Gill, W.N. Two-dimensional wafer-scale chemical mechanical planarization models based on lubrication theory and mass transport. J. Electrochem. Soc. 1999, 146, 761. [Google Scholar] [CrossRef]
  78. Tichy, J.; Levert, J.A.; Shan, L.; Danyluk, S. Contact mechanics and lubrication hydrodynamics of chemical mechanical polishing. J. Electrochem. Soc. 1999, 146, 1523. [Google Scholar] [CrossRef]
  79. Tsai, H.; Jeng, Y.; Huang, P. An improved model considering elastic—Plastic contact and partial hydrodynamic lubrication for chemical mechanical polishing. Proc. Inst. Mech. Eng. Part J J. Eng. Tribol. 2008, 222, 761–770. [Google Scholar] [CrossRef]
  80. Vlassak, J. A model for chemical–mechanical polishing of a material surface based on contact mechanics. J. Mech. Phys. Solids 2004, 52, 847–873. [Google Scholar] [CrossRef]
  81. Feng, C.; Yan, C.; Tao, J.; Zeng, X.; Cai, W. A contact-mechanics-based model for general rough pads in chemical mechanical polishing processes. J. Electrochem. Soc. 2009, 156, H601. [Google Scholar] [CrossRef]
  82. Zhou, P.; Dong, Z.; Kang, R.; Jin, Z.; Guo, D. A mixed elastohydrodynamic lubrication model for simulation of chemical mechanical polishing with double-layer structure of polishing pad. Int. J. Adv. Manuf. Technol. 2015, 77, 107–116. [Google Scholar] [CrossRef]
  83. Terrell, E.J.; Higgs, C.F., III. A particle-augmented mixed lubrication modeling approach to predicting chemical mechanical polishing. J. Tribol. 2009, 131, 012201. [Google Scholar] [CrossRef]
  84. Jeng, Y.-R.; Huang, P.-Y.; Pan, W.-C. Tribological analysis of CMP with partial asperity contact. J. Electrochem. Soc. 2003, 150, G630. [Google Scholar] [CrossRef]
  85. McAllister, J.; Mariscal, J.C.; Dadashazar, H.; Sampurno, Y.; Philipossian, A. Effect of Abrasive Nanoparticle Concentration on the Tribological, Thermal and Kinetic Attributes of Tungsten Chemical Mechanical Planarization. ECS J. Solid State Sci. Technol. 2020, 9, 024014. [Google Scholar] [CrossRef]
  86. Borucki, L.J.; Sun, T.; Zhuang, Y.; Slutz, D.; Philipossian, A. Pad Topography, Contact Area and Hydrodynamic Lubrication in Chemical-Mechanical Polishing. MRS Online Proc. Libr. 2009, 1157, E1101–E1102. [Google Scholar] [CrossRef]
  87. Zhang, Y.; Biboulet, N.; Venner, C.; Lubrecht, A. Prediction of the Stribeck curve under full-film Elastohydrodynamic Lubrication. Tribol. Int. 2020, 149, 105569. [Google Scholar] [CrossRef]
  88. Levert, J.A.; Korach, C.S.; Mooney, B.; Lynam, F. Model of particle contact area for friction in oxide chemical mechanical polishing. ECS J. Solid State Sci. Technol. 2019, 8, P787. [Google Scholar] [CrossRef]
  89. Rosales-Yeomans, D.; Lee, H.; Suzuki, T.; Philipossian, A. Effect of concentric slanted pad groove patterns on slurry flow during chemical mechanical planarization. Thin Solid Film. 2012, 520, 2224–2232. [Google Scholar] [CrossRef]
  90. Lu, J.; Rogers, C.; Manno, V.P.; Philipossian, A.; Anjur, S.; Moinpour, M. Measurements of Slurry Film Thickness and Wafer Drag during CMP. J. Electrochem. Soc. 2004, 151, G241–G247. [Google Scholar] [CrossRef]
  91. Wang, Y.-S.; Chen, K.-W.; Cheng, M.-Y.; Lee, W.-H.; Wang, Y.-L. Effects of (002) β-Ta barrier on copper chemical mechanical polishing behavior. Thin Solid Film. 2013, 529, 435–438. [Google Scholar] [CrossRef]
  92. Seo, E.-B.; Bae, J.-Y.; Kim, S.-I.; Choi, H.-E.; Son, Y.-H.; Yun, S.-S.; Park, J.-H.; Park, J.-G. Interfacial Chemical and Mechanical Reactions between Tungsten-Film and Nano-Scale Colloidal Zirconia Abrasives for Chemical-Mechanical-Planarization. ECS J. Solid State Sci. Technol. 2020, 9, 054001. [Google Scholar] [CrossRef]
  93. Chen, K.-S.; Wu, S.-L.; Yeh, H.-M. Modeling of chemical mechanical polishing processes by cellular automata and finite element/matlab integration methods. Microsyst. Technol. 2015, 21, 1879–1892. [Google Scholar] [CrossRef]
  94. Rock, S.E.; Crain, D.J.; Zheng, J.P.; Pettit, C.M.; Roy, D. Electrochemical investigation of the surface-modifying roles of guanidine carbonate in chemical mechanical planarization of tantalum. Mater. Chem. Phys. 2011, 129, 1159–1170. [Google Scholar] [CrossRef]
  95. Lee, H.; Park, B.; Jeong, H. Mechanical effect of process condition and abrasive concentration on material removal rate profile in copper chemical mechanical planarization. J. Mater. Process. Technol. 2009, 209, 1729–1735. [Google Scholar] [CrossRef]
  96. Bozkaya, D.; Müftü, S. A Material Removal Model for CMP Based on the Contact Mechanics of Pad, Abrasives, and Wafer. J. Electrochem. Soc. 2009, 156, H890–H902. [Google Scholar] [CrossRef]
  97. Paul, E. A model of chemical mechanical polishing: II. Polishing pressure and speed. J. Electrochem. Soc. 2002, 149, G305. [Google Scholar] [CrossRef]
  98. Brugnoli, L.; Miyatani, K.; Akaji, M.; Urata, S.; Pedone, A. New Atomistic Insights on the Chemical Mechanical Polishing of Silica Glass with Ceria Nanoparticles. Langmuir 2023, 39, 5527–5541. [Google Scholar] [CrossRef] [PubMed]
  99. Steigerwald, J.M.; Murarka, S.P.; Gutmann, R.J. Chemical Mechanical Planarization of Microelectronic Materials; Wiley-VCH: Wineheim, Germany, 2004. [Google Scholar]
  100. Rock, S.; Crain, D.; Pettit, C.; Roy, D. Surface-complex films of guanidine on tantalum nitride electrochemically characterized for applications in chemical mechanical planarization. Thin Solid Film. 2012, 520, 2892–2900. [Google Scholar] [CrossRef]
  101. Echavarri Otero, J.; de la Guerra Ochoa, E.; Chacon Tanarro, E.; del Río López, B. Friction coefficient in mixed lubrication: A simplified analytical approach for highly loaded non-conformal contacts. Adv. Mech. Eng. 2017, 9, 1687814017706266. [Google Scholar] [CrossRef]
  102. Lee, H.; Joo, S.; Jeong, H. Mechanical effect of colloidal silica in copper chemical mechanical planarization. J. Mater. Process. Technol. 2009, 209, 6134–6139. [Google Scholar] [CrossRef]
  103. Brocks, W. Cohesive strength and separation energy as characteristic parameters of fracture toughness and their relation to micromechanics. Struct. Durab. Health Monit. 2005, 1, 233. [Google Scholar]
  104. Santefort, D.; Gamagedara, K.; Roy, D. Tribo-Electroanalytical Evaluation of CMP Slurries and Post-CMP Cleaning Solutions. In Proceedings of the ICPT Conference, Portland, OR, USA, 29 September 2022; pp. 1–8. [Google Scholar]
  105. Chen, Y.; Renner, P.; Liang, H. A review of current understanding in tribochemical reactions involving lubricant additives. Friction 2023, 11, 489–512. [Google Scholar] [CrossRef]
  106. Jeong, S.; Jeong, K.; Choi, J.; Jeong, H. Analysis of correlation between pad temperature and asperity angle in chemical mechanical planarization. Appl. Sci. 2021, 11, 1507. [Google Scholar] [CrossRef]
  107. Kim, H.J.; Ahn, S.-G.; Qin, L.; Koli, D.; Govindarajulu, V.; Moon, Y. Effects of pad temperature on the chemical mechanical polishing of tungsten. ECS J. Solid State Sci. Technol. 2014, 3, P310. [Google Scholar] [CrossRef]
  108. Gutman, E. Mechanochemistry of Metals and Corrosion Protection; Cambridge International Science Publishing: Cambridge, UK, 1998; p. 212. [Google Scholar]
  109. Kaleli, H. New Universal Tribometer as Pin or Ball-on-Disc and Reciprocating Pin-on-Plate Types. Tribol. Ind. 2016, 38, 235. [Google Scholar]
  110. Alkan, S.; Gök, M.S. Effect of sliding wear and electrochemical potential on tribocorrosion behaviour of AISI 316 stainless steel in seawater. Eng. Sci. Technol. Int. J. 2020, 24, 524–532. [Google Scholar] [CrossRef]
  111. Vats, V.; Baskaran, T.; Arya, S.B. Tribo-corrosion study of nickel-free, high nitrogen and high manganese austenitic stainless steel. Tribol. Int. 2018, 119, 659–666. [Google Scholar] [CrossRef]
  112. López-Ortega, A.; Arana, J.; Bayón, R. Tribocorrosion of passive materials: A review on test procedures and standards. Int. J. Corros. 2018, 2018, 7345346. [Google Scholar] [CrossRef]
  113. Jackson, C.L.; Mosley, D.W. Model friction studies of chemical mechanical planarization using a pin-on-disk tribometer. Tribol. Lett. 2019, 67, 81. [Google Scholar] [CrossRef]
  114. Lee, W.-J.; Park, H.-S. Development of novel process for Ru CMP using ceric ammonium nitrate (CAN)-containing nitric acid. Appl. Surf. Sci. 2004, 228, 410–417. [Google Scholar] [CrossRef]
  115. Stein, D.J.; Hetherington, D.; Guilinger, T.; Cecchi, J.L. In Situ Electrochemical Investigation of Tungsten Electrochemical Behavior during Chemical Mechanical Polishing. J. Electrochem. Soc. 1998, 145, 3190–3196. [Google Scholar] [CrossRef]
  116. Fang, Y.; Raghavan, S. Electrochemical Investigations during the Abrasion of Aluminum/Titanium Thin-Film Stacks in Iodate-Based Slurry. J. Electrochem. Soc. 2004, 151, G878–G881. [Google Scholar] [CrossRef]
  117. Aksu, S.; Wang, L.; Doyle, F.M. Effect of Hydrogen Peroxide on Oxidation of Copper in CMP Slurries Containing Glycine. J. Electrochem. Soc. 2003, 150, G718–G723. [Google Scholar] [CrossRef]
  118. Cheng, J.; Wang, T.; Chai, Z.; Lu, X. Tribocorrosion study of copper during chemical mechanical polishing in potassium periodate-based slurry. Tribol. Lett. 2015, 58, 8. [Google Scholar] [CrossRef]
  119. Shima, S.; Fukunaga, A.; Tsujimura, M. Effects of Liner Metal and CMP Slurry Oxidizer on Copper Galvanic Corrosion. ECS Trans. 2007, 11, 285–295. [Google Scholar] [CrossRef]
  120. Hu, L.; Pan, G.; Zhang, X.; He, P.; Wang, C. Inhibition effect of TT-LYK on Cu corrosion and galvanic corrosion between Cu and Co during CMP in alkaline slurry. ECS J. Solid State Sci. Technol. 2019, 8, P437. [Google Scholar] [CrossRef]
  121. Turk, M.; Walters, M.; Roy, D. Tribo-electrochemical investigation of a slurry composition to reduce dissolution and galvanic corrosion during chemical mechanical planarization of Cu-Ru interconnects. Mater. Chem. Phys. 2017, 201, 271–288. [Google Scholar] [CrossRef]
  122. Turk, M.C.; Shi, X.; Gonyer, D.A.J.; Roy, D. Chemical and Mechanical Aspects of a Co-Cu Planarization Scheme Based on an Alkaline Slurry Formulation. ECS J. Solid State Sci. Technol. 2016, 5, P88–P99. [Google Scholar] [CrossRef]
  123. McGrath, J.; Davis, C. Polishing pad surface characterisation in chemical mechanical planarisation. J. Mater. Process. Technol. 2004, 153–154, 666–673. [Google Scholar] [CrossRef]
  124. Charns, L.; Sugiyama, M.; Philipossian, A. Mechanical properties of chemical mechanical polishing pads containing water-soluble particles. Thin Solid Film. 2005, 485, 188–193. [Google Scholar] [CrossRef]
  125. Jiang, L.; He, Y.; Li, Y.; Luo, J. Effect of ionic strength on ruthenium CMP in H2O2-based slurries. Appl. Surf. Sci. 2014, 317, 332–337. [Google Scholar] [CrossRef]
  126. Surisetty, C.; Peethala, B.; Roy, D.; Babu, S. Utility of oxy-anions for selective low pressure polishing of Cu and Ta in chemical mechanical planarization. Electrochem. Solid-State Lett. 2010, 13, H244–H247. [Google Scholar] [CrossRef]
  127. Yadav, K.; Manivannan, R.; Victoria, S.N. Chemical Mechanical Planarization of Ruthenium Using Sodium Hypochlorite Based Titania Slurry. ECS J. Solid State Sci. Technol. 2017, 6, P879–P885. [Google Scholar] [CrossRef]
  128. Jiang, L.; He, Y.; Li, J.; Luo, J. Passivation Kinetics of 1, 2, 4-Triazole in Copper Chemical Mechanical Polishing. ECS J. Solid State Sci. Technol. 2016, 5, P272–P279. [Google Scholar] [CrossRef]
  129. Choi, S.; Doyle, F.M.; Dornfeld, D.A. Material Removal Mechanism during Copper Chemical Mechanical Planarization Based on Nano-Scale Material Behavior. ECS J. Solid State Sci. Technol. 2017, 6, P235–P242. [Google Scholar] [CrossRef]
  130. Cheng, J.; Wang, T.; Lu, X. Galvanic Corrosion Inhibitors for Cu/Ru Couple during Chemical Mechanical Polishing of Ru. ECS J. Solid State Sci. Technol. 2017, 6, P62–P67. [Google Scholar] [CrossRef]
  131. Qu, X.-P.; Yang, G.; He, P.; Feng, H. Chemical Mechanical Polishing of Mo Using H2O2 as Oxidizer in Colloidal Silica Based Slurries. ECS J. Solid State Sci. Technol. 2017, 6, P470–P476. [Google Scholar] [CrossRef]
  132. Jiang, L.; He, Y.; Liang, H.; Li, Y.; Luo, J. Effect of Potassium Ions on Tantalum Chemical Mechanical Polishing in H2O2-Based Alkaline Slurries. ECS J. Solid State Sci. Technol. 2016, 5, P100–P111. [Google Scholar] [CrossRef]
  133. Nair, R.R.; Gupta, A.; Victoria, S.N.; Manivannan, R. Chemical mechanical planarization of germanium using oxone® based silica slurries. Wear 2017, 376, 86–90. [Google Scholar] [CrossRef]
  134. Yang, G.; He, P.; Qu, X.-P. Inhibition effect of glycine on molybdenum corrosion during CMP in alkaline H2O2 based abrasive free slurry. Appl. Surf. Sci. 2018, 427, 148–155. [Google Scholar] [CrossRef]
  135. Carlton, C.; Ferreira, P. What is behind the inverse Hall–Petch effect in nanocrystalline materials? Acta Mater. 2007, 55, 3749–3756. [Google Scholar] [CrossRef]
  136. Amanapu, H.P.; Sagi, K.V.; Teugels, L.G.; Babu, S.V. Role of Guanidine Carbonate and Crystal Orientation on Chemical Mechanical Polishing of Ruthenium Films. ECS J. Solid State Sci. Technol. 2013, 2, P445–P451. [Google Scholar] [CrossRef]
  137. Feng, H.-P.; Lin, J.-Y.; Cheng, M.-Y.; Wang, Y.-Y.; Wan, C.-C. Behavior of Copper Removal by CMP and Its Correlation to Deposit Structure and Impurity Content. J. Electrochem. Soc. 2008, 155, H21–H25. [Google Scholar] [CrossRef]
  138. Sagi, K.; Teugels, L.; van der Veen, M.; Struyf, H.; Babu, S. Chemical Mechanical Polishing and Planarization of Mn-Based Barrier/Ru Liner Films in Cu Interconnects for Advanced Metallization Nodes. ECS J. Solid State Sci. Technol. 2017, 6, P259–P264. [Google Scholar] [CrossRef]
  139. Ponthiaux, P.; Wenger, F.; Drees, D.; Celis, J.-P. Electrochemical techniques for studying tribocorrosion processes. Wear 2004, 256, 459–468. [Google Scholar] [CrossRef]
  140. Wu, P.-Q.; Celis, J.-P. Electrochemical noise measurements on stainless steel during corrosion–wear in sliding contacts. Wear 2004, 256, 480–490. [Google Scholar] [CrossRef]
  141. Stemp, M.; Mischler, S.; Landolt, D. The effect of mechanical and electrochemical parameters on the tribocorrosion rate of stainless steel in sulphuric acid. Wear 2003, 255, 466–475. [Google Scholar] [CrossRef]
  142. Huo, J.I.J.A. Electrochemistry in ECMP. Microelectronic Applications of Chemical Mechanical Planarization; John Wiley & Sons: Hoboken, NJ, USA, 2007; p. 295. [Google Scholar]
  143. Totolin, V.; Göcerler, H.; Rodríguez Ripoll, M.; Jech, M. Tribo-electrochemical study of stainless steel surfaces during chemical–mechanical polishing. Lubr. Sci. 2016, 28, 363–380. [Google Scholar] [CrossRef]
  144. Kneer, E.; Raghunath, C.; Mathew, V.; Raghavan, S.; Jeon, J.S. Electrochemical measurements during the chemical mechanical polishing of tungsten thin films. J. Electrochem. Soc. 1997, 144, 3041. [Google Scholar] [CrossRef]
  145. Roy, D. Electrochemical techniques and their applications for CMP of metal films. In Advances in Chemical Mechanical Planarization (CMP); Elsevier: Amsterdam, The Netherlands, 2022; pp. 51–94. [Google Scholar]
  146. Lee, D.; Lee, H.; Jeong, H. Slurry components in metal chemical mechanical planarization (CMP) process: A review. Int. J. Precis. Eng. Manuf. 2016, 17, 1751–1762. [Google Scholar] [CrossRef]
  147. Jemmely, P.; Mischler, S.; Landolt, D. Electrochemical modeling of passivation phenomena in tribocorrosion. Wear 2000, 237, 63–76. [Google Scholar] [CrossRef]
  148. Papageorgiou, N.; Mischler, S. Electrochemical Simulation of the Current and Potential Response in Sliding Tribocorrosion. Tribol. Lett. 2012, 48, 271–283. [Google Scholar] [CrossRef]
  149. Angst, U.; Büchler, M. On the Applicability of the Stern–Geary Relationship to Determine Instantaneous Corrosion Rates in Macro-Cell Corrosion. Mater. Corros. 2015, 66, 1017–1028. [Google Scholar]
  150. Power, G.P.; Ritchie, I.M. Mixed potential measurements in the elucidation of corrosion mechanisms—1. Introductory theory. Electrochim. Acta 1981, 26, 1073–1078. [Google Scholar] [CrossRef]
  151. Gray, D.; Cahill, A. Theoretical Analysis of Mixed Potentials. J. Electrochem. Soc. 1969, 116, 443–447. [Google Scholar] [CrossRef]
  152. Calvo, E.J. Fundamentals. The-basics of electrode reactions. In Electrode Kinetics: Principles and Methodology; Bamford, C.H., Compton, R.G., Eds.; Elsevier Science: Amsterdam, The Netherlands, 1986; Volume 26, p. 1. [Google Scholar]
  153. Akrout, H.; Bousselmi, L.; Triki, E.; Maximovitch, S.; Dalard, F. Adsorption mechanism of non-toxic organic inhibitors on steel in solutions at pH 8 determined by electrochemical quartz crystal microbalance measurements. Mater. Corros. 2005, 56, 185–191. [Google Scholar] [CrossRef]
  154. Habashi, F.; Bas, A.D. Evidence of the existence of cathodic and anodic zones during the leaching of minerals and metals. Hydrometallurgy 2014, 144–145, 148–150. [Google Scholar] [CrossRef]
  155. Ateya, B.G.; Pickering, H.W. The distribution of anodic and cathodic reaction sites during environmentally assisted cracking. Corros. Sci. 1995, 37, 1443–1453. [Google Scholar] [CrossRef]
  156. Jüttner, K.; Lorenz, W.J.; Kendig, M.W.; Mansfeld, F. Electrochemical Impedance Spectroscopy on 3-D Inhomogeneous Surfaces: Corrosion in Neutral Aerated Solutions. J. Electrochem. Soc. 1988, 135, 332–339. [Google Scholar] [CrossRef]
  157. Cao, C. On electrochemical techniques for interface inhibitor research. Corros. Sci. 1996, 38, 2073–2082. [Google Scholar] [CrossRef]
  158. Bard, A.J.; Faulkner, L.R. Electrochemical Methods Fundamentals and Applications; John Wiley & Sons: New York, NY, USA, 2001. [Google Scholar]
  159. Buchanan, R.A.; Stansbury, E.E. 4—Electrochemical Corrosion. In Handbook of Environmental Degradation of Materials, 2nd ed.; Kutz, M., Ed.; William Andrew Publishing: Oxford, UK, 2012; pp. 87–125. [Google Scholar]
  160. Yang, L.J. Wear Coefficient Equation for Aluminum-Based Matrix Composites Against Steel Disc. Wear 2003, 255, 579–592. [Google Scholar] [CrossRef]
  161. . Sagi, K.V.; Amanapu, H.P.; Teugels, L.G.; Babu, S.V. Investigation of Guanidine Carbonate-Based Slurries for Chemical Mechanical Polishing of Ru/TiN Barrier Films with Minimal Corrosion. ECS J. Solid State Sci. Technol. 2014, 3, P227–P234. [Google Scholar] [CrossRef]
  162. Walter, G.W. Corrosion Rates of Zinc, Zinc Coatings and Steel in Aerated Slightly Acidic Chloride Solutions Calculated from Low Polarization Data. Corros. Sci. 1976, 16, 573–586. [Google Scholar] [CrossRef]
  163. Stansbury, E.E.; Buchanan, R.A. Fundamentals of Electrochemical Corrosion; ASM International: Materials Park, OH, USA, 2000. [Google Scholar]
  164. Huet, F.; Nogueira, R.; Ponthiaux, P.; Wenger, F.; Deforge, D. Electrochemical Noise Analysis of Tribo-Corrosion Processes under Steady-State Friction Regime. Corrosion 2005, 62, 514–521. [Google Scholar]
  165. Hudson, J.L.; Tsotsis, T. Electrochemical reaction dynamics: A review. Chem. Eng. Sci. 1994, 49, 1493–1572. [Google Scholar] [CrossRef]
  166. Cottis, R. Interpretation of electrochemical noise data. Corrosion 2001, 57, 265–285. [Google Scholar] [CrossRef]
  167. Jamali, S.S.; Mills, D.J. A critical review of electrochemical noise measurement as a tool for evaluation of organic coatings. Prog. Org. Coat. 2016, 95, 26–37. [Google Scholar] [CrossRef]
  168. Berradja, A.; Déforge, D.; Nogueira, R.; Ponthiaux, P.; Wenger, F.; Celis, J.-P. An electrochemical noise study of tribocorrosion processes of AISI 304 L in Cl− and media. J. Phys. D Appl. Phys. 2006, 39, 3184. [Google Scholar] [CrossRef]
  169. Wood, R.J.K. Tribo-Corrosion of Coatings: A review. J. Phys. D: Appl. Phys. 2007, 40, 5502–5521. [Google Scholar] [CrossRef]
  170. Mischler, S.; Munoz, A.I. Tribocorrosion, Encyclopedia of Interfacial Chemistry: Surface Science and Electrochemistry, 1st ed.; Elsevier Science: Amsterdam, The Netherlands, 2018. [Google Scholar]
  171. Zuo, Y.; Li, T.; Jiang, X.; Wu, M.; Zhang, Y.; Chen, F. Tribocorrosion behavior of Ca–P MAO coatings on Ti6Al4V alloy at various applied voltages. J. Mater. Res. 2020, 35, 444–453. [Google Scholar] [CrossRef]
  172. Bailey, R. Tribocorrosion response of surface-modified Ti in a 0.9% NaCl solution. Lubricants 2018, 6, 86. [Google Scholar] [CrossRef]
  173. Schlegel, J.M.; Paretti, R.F. An electrochemical oscillator: The mercury/chloropentammine cobalt (III) oscillator. J. Electroanal. Chem. 1992, 335, 67–74. [Google Scholar] [CrossRef]
  174. Lizama-Tzec, F.; Canché-Canul, L.; Oskam, G. Electrodeposition of copper into trenches from a citrate plating bath. Electrochim. Acta 2011, 56, 9391–9396. [Google Scholar] [CrossRef]
  175. Rode, S.; Henninot, C.; Vallières, C.; Matlosz, M. Complexation chemistry in copper plating from citrate baths. J. Electrochem. Soc. 2004, 151, C405–C411. [Google Scholar] [CrossRef]
  176. Tasdemir, M.; Alsaran, A. Tribocorrosion behaviour of porous anatase and rutile phases formed on surface of anodised Ti45Nb alloy. Lubr. Sci. 2020, 32, 192–201. [Google Scholar] [CrossRef]
  177. Yan, C.; Zeng, Q.; Xu, Y.; He, W. Microstructure, phase and tribocorrosion behavior of 60NiTi alloy. Appl. Surf. Sci. 2019, 498, 143838. [Google Scholar] [CrossRef]
  178. Wei, S.; Roy, D. Galvanodynamic probing of tribologically assisted material removal under chemical control: A cobalt/copper case study for application in chemical mechanical planarization. Tribol. Int. 2023, 179, 108185. [Google Scholar] [CrossRef]
  179. Cleveland, W.S.; Devlin, S.J. Locally weighted regression: An approach to regression analysis by local fitting. J. Am. Stat. Assoc. 1988, 83, 596–610. [Google Scholar] [CrossRef]
  180. Ponthiaux, P.; Wenger, F.; Celis, J.-P. Tribocorrosion: Material behavior under combined conditions of corrosion and mechanical loading, Corrosion Resistance. Corros. Resist. 2012, 1, 81–106. [Google Scholar]
  181. Tamilmani, S.; Huang, W.; Raghavan, S. Galvanic Corrosion Between Copper and Tantalum under CMP Conditions. J. Electrochem. Soc. 2006, 153, F53–F59. [Google Scholar] [CrossRef]
  182. Wei, S.; Roy, D. Electrochemical Studies of CMP Related Metals and Slurry Solutions. Clarkson University, Potsdam, NY, USA. 2021; Unpubl. Results. [Google Scholar]
  183. Priya, R.; Ningshen, S. The Tribocorrosion Behaviour and its Mechanisms of Type 304L Stainless Steel in Nitric Acid Media. J. Mater. Eng. Perform. 2023, 32, 5261–5272. [Google Scholar] [CrossRef]
  184. Liu, E.; Zhang, Y.; Zhu, L.; Zeng, Z.; Gao, R. Effect of strain-induced martensite on the tribocorrosion of AISI 316L austenitic stainless steel in seawater. Rsc Adv. 2017, 7, 44923–44932. [Google Scholar] [CrossRef]
  185. Berlanga-Labari, C.; Claver, A.; Biezma-Moraleda, M.V.; Palacio, J.F. Study of Effect of Nickel Content on Tribocorrosion Behaviour of Nickel–Aluminium–Bronzes (NABs). Lubricants 2023, 11, 43. [Google Scholar] [CrossRef]
  186. Diomidis, N.; Mischler, S.; More, N.; Roy, M. Tribo-electrochemical characterization of metallic biomaterials for total joint replacement. Acta Biomater. 2012, 8, 852–859. [Google Scholar] [CrossRef]
  187. Jun, C.; Zhang, Q.; Li, Q.-a.; Fu, S.-l.; Wang, J.-z. Corrosion and tribocorrosion behaviors of AISI 316 stainless steel and Ti6Al4V alloys in artificial seawater. Trans. Nonferrous Met. Soc. China 2014, 24, 1022–1031. [Google Scholar]
  188. Zeng, L.; Chen, G.; Chen, H. Comparative study on flow-accelerated corrosion and erosion–corrosion at a 90 carbon steel bend. Materials 2020, 13, 1780. [Google Scholar] [CrossRef]
  189. Lotz, U.; Heitz, E. Flow-dependent corrosion. I. Current understanding of the mechanisms involved. Mater. Corros. 1983, 34, 454–461. [Google Scholar] [CrossRef]
  190. Pokhmurskii, V.; Zin, I.; Pokhmurska, H.; Vynar, V. Electrochemical investigations of aluminium alloys tribocorrosion. Int. J. Corros. Scale Inhib. 2014, 3, 129–136. [Google Scholar] [CrossRef]
  191. Souza, M.E.P.; Ariza, E.; Ballester, M.; Rocha, L.A.; Freire, C. Comparative behaviour in terms of wear and corrosion resistance of galvanized and zinc-iron coated steels. Matéria 2007, 12, 618–623. [Google Scholar] [CrossRef]
  192. Argibay, N.; Sawyer, W. Frictional voltammetry with copper. Tribol. Lett. 2012, 46, 337–342. [Google Scholar] [CrossRef]
  193. Gracia-Escosa, E.; García, I.; Sánchez-López, J.; Abad, M.D.; Mariscal, A.; Arenas, M.; de Damborenea, J.; Conde, A. Tribocorrosion behavior of TiBxCy/aC nanocomposite coating in strong oxidant disinfectant solutions. Surf. Coat. Technol. 2015, 263, 78–85. [Google Scholar] [CrossRef]
  194. Hu, L.; Pan, G.; Li, C.; Zhang, X.; Liu, J.; He, P.; Wang, C. Potassium tartrate as a complexing agent for chemical mechanical polishing of Cu/Co/TaN barrier liner stack in H2O2 based alkaline slurries. Mater. Sci. Semicond. Process. 2020, 108, 104883. [Google Scholar] [CrossRef]
  195. Johnson, C.; Liu, J.; White, M.L.; Roy, D. Tribo-Electrochemistry of Post-CMP Cleaning: Results for Co and Cu Wafer Films Brushed in Different Solutions. ECS J. Solid State Sci. Technol. 2021, 10, 054005. [Google Scholar] [CrossRef]
  196. Cheng, J.; Wang, T.; Pan, J.; Lu, X. Corrosion investigations of ruthenium in potassium periodate solutions relevant for chemical mechanical polishing. J. Electron. Mater. 2016, 45, 4067–4075. [Google Scholar] [CrossRef]
  197. Li, J.; Liu, Y.; Pan, Y.; Lu, X. Chemical roles on Cu-slurry interface during copper chemical mechanical planarization. Appl. Surf. Sci. 2014, 293, 287–292. [Google Scholar] [CrossRef]
  198. Wang, L.; Snihirova, D.; Deng, M.; Vaghefinazari, B.; Höche, D.; Lamaka, S.V.; Zheludkevich, M.L. Revealing physical interpretation of time constants in electrochemical impedance spectra of Mg via Tribo-EIS measurements. Electrochim. Acta 2022, 404, 139582. [Google Scholar] [CrossRef]
  199. Geringer, J.; Normand, B.; Alemany-Dumont, C.; Diemiaszonek, R. Assessing the tribocorrosion behaviour of Cu and Al by electrochemical impedance spectroscopy. Tribol. Int. 2010, 43, 1991–1999. [Google Scholar] [CrossRef]
  200. Chiu, S.-Y.; Wang, Y.-L.; Liu, C.-P.; Lan, J.-K.; Ay, C.; Feng, M.-S.; Tsai, M.-S.; Dai, B.-T. The application of electrochemical metrologies for investigating chemical mechanical polishing of Al with a Ti barrier layer. Mater. Chem. Phys. 2003, 82, 444–451. [Google Scholar] [CrossRef]
  201. Turk, M.C.; Walters, M.J.; Roy, D. Experimental considerations for using electrochemical impedance spectroscopy to study chemical mechanical planarization systems. Electrochim. Acta 2017, 224, 355–368. [Google Scholar] [CrossRef]
  202. Szekeres, K.J.; Vesztergom, S.; Ujvári, M.; Láng, G.G. Methods for the Determination of Valid Impedance Spectra in Non-stationary Electrochemical Systems: Concepts and Techniques of Practical Importance. ChemElectroChem 2021, 8, 1233–1250. [Google Scholar] [CrossRef]
  203. Wei, S.; Roy, D. Tribo-electrochemical studies of metal CMP systems. Clarkson University, Potsdam, NY, USA. 2021; Unpubl. Results. [Google Scholar]
  204. Wu, L.; Zhang, K.; Zhou, Z.; Wang, G.; Zhang, X. Two-step post treatment to improve corrosion resistance and friction performance of Fe-based metallic glasses/crystalline dual-phase coatings. J. Non-Cryst. Solids 2023, 609, 122268. [Google Scholar] [CrossRef]
  205. Kuo, H.-S.; Tsai, W.-T. Effects of alumina and hydrogen peroxide on the chemical-mechanical polishing of aluminum in phosphoric acid base slurry. Mater. Chem. Phys. 2001, 69, 53–61. [Google Scholar] [CrossRef]
  206. Yilma, H.B.; Zekarias, M.T.; Rao, G.N. Chemical Speciation Studies of Malonic Acid Complexes of Co(II), Cu(II), Ni(II) and Zn(II) in Dioxan-Water Mixtures. Pharma Chem. 2012, 4, 655–663. [Google Scholar]
  207. Lazanas, A.C.; Prodromidis, M.I. Electrochemical Impedance Spectroscopy—A Tutorial. ACS Meas. Sci. Au 2023, 3, 162–193. [Google Scholar] [CrossRef] [PubMed]
  208. Gonzalez, J.; Mirza-Rosca, J. Study of the corrosion behavior of titanium and some of its alloys for biomedical and dental implant applications. J. Electroanal. Chem. 1999, 471, 109–115. [Google Scholar] [CrossRef]
  209. Barik, R.; Wharton, J.; Wood, R.; Stokes, K.; Jones, R. Corrosion, erosion and erosion–corrosion performance of plasma electrolytic oxidation (PEO) deposited Al2O3 coatings. Surf. Coat. Technol. 2005, 199, 158–167. [Google Scholar] [CrossRef]
  210. Su, C.; Wu, W.; Li, Z.; Guo, Y. Prediction of film performance by electrochemical impedance spectroscopy. Corros. Sci. 2015, 99, 42–52. [Google Scholar] [CrossRef]
  211. Mansfeld, F. Electrochemical impedance spectroscopy (EIS) as a new tool for investigating methods of corrosion protection. Electrochim. Acta 1990, 35, 1533–1544. [Google Scholar] [CrossRef]
  212. Bisquert, J. Theory of the Impedance of Electron Diffusion and Recombination in a Thin Layer. J. Phys. Chem. B 2001, 106, 325–333. [Google Scholar] [CrossRef]
  213. Jindal, A.; Babu, S.V. Effect of pH on CMP of Copper and Tantalum. J. Electrochem. Soc. 2004, 151, G709–G716. [Google Scholar] [CrossRef]
  214. Stojadinović, J.; Mendia, L.; Bouvet, D.; Declercq, M.; Mischler, S. Electrochemically controlled wear transitions in the tribocorrosion of ruthenium. Wear 2009, 267, 186–194. [Google Scholar] [CrossRef]
  215. Turk, M.; Rock, S.; Amanapu, H.; Teugels, L.; Roy, D. Investigation of percarbonate based slurry chemistry for controlling galvanic corrosion during CMP of ruthenium. ECS J. Solid State Sci. Technol. 2013, 2, P205. [Google Scholar] [CrossRef]
  216. Cheng, J.; Wang, T.; Wang, J.; Liu, Y.; Lu, X. Effects of KIO4 concentration and pH values of the solution relevant for chemical mechanical polishing of ruthenium. Microelectron. Eng. 2016, 151, 30–37. [Google Scholar] [CrossRef]
  217. Cui, H.; Park, J.-H.; Park, J.-G. Study of Ruthenium Oxides Species on Ruthenium Chemical Mechanical Planarization Using Periodate-Based Slurry. J. Electrochem. Soc. 2012, 159, H335–H341. [Google Scholar] [CrossRef]
  218. Fangteng, S.; Charles, E.A. A theoretical approach to galvanic corrosion, allowing for cathode dissolution. Corros. Sci. 1988, 28, 649–655. [Google Scholar] [CrossRef]
  219. Mansfeld, F. Area relationship in galvanic corrosion. Corrosion 1971, 27, 436–442. [Google Scholar] [CrossRef]
  220. Li, J.; Liu, Y.; Wang, T.; Lu, X.; Luo, J. Electrochemical investigation of copper passivation kinetics and its application to low-pressure CMP modeling. Appl. Surf. Sci. 2013, 265, 764–770. [Google Scholar] [CrossRef]
  221. Tripathi, S.; Doyle, F.M.; Dornfeld, D.A. Fundamental mechanisms of copper CMP–passivation kinetics of copper in CMP Slurry Constituents. MRS Online Proc. Libr. 2009, 1157, E1102–E1106. [Google Scholar] [CrossRef]
  222. Lingane, P.J.; Peters, D.G. Chronopotentiometry. CRC Crit. Rev. Anal. Chem. 1971, 1, 587–634. [Google Scholar] [CrossRef]
  223. Macdonald, D.D. Transient Techniques in Electrochemistry; Springer: Boston, MA, USA, 1977. [Google Scholar]
  224. Bard, A.J. Effect of Electrode Configuration and Transition Time in Solid Electrode Chronopotentiometry. Anal. Chem. 1961, 33, 11–15. [Google Scholar] [CrossRef]
  225. Gabrielli, C.; Keddam, M.; Takenouti, H.; Quang Kinh, V.; Bourelier, F. The relationship between the impedance of corroding electrode and its polarization resistance determined by a linear voltage sweep technique. Electrochim. Acta 1979, 24, 61–65. [Google Scholar] [CrossRef]
  226. Babić, R.; Metikoš-Huković, M. Spectroelectrochemical studies of protective surface films against copper corrosion. Thin Solid Film. 2000, 359, 88–94. [Google Scholar] [CrossRef]
  227. Scully, J.R. Polarization resistance method for determination of instantaneous corrosion rates. Corrosion 2000, 56, 200–218. [Google Scholar] [CrossRef]
  228. Stojadinović, J.; Bouvet, D.; Declercq, M.; Mischler, S. Effect of electrode potential on the tribocorrosion of tungsten. Tribol. Int. 2009, 42, 575–583. [Google Scholar] [CrossRef]
  229. Zhang, X.; Jiang, Z.H.; Yao, Z.P.; Song, Y.; Wu, Z.D. Effects of scan rate on the potentiodynamic polarization curve obtained to determine the Tafel slopes and corrosion current density. Corros. Sci. 2009, 51, 581–587. [Google Scholar] [CrossRef]
  230. Cai, S.-y.; Wen, L.; Jin, Y. A comparative study on corrosion kinetic parameter estimation methods for the early stage corrosion of Q345B steel in 3.5 wt% NaCl solution. Int. J. Miner. Metall. Mater. 2017, 24, 1112–1124. [Google Scholar] [CrossRef]
  231. Tahmasebi, S.; Hossain, M.A.; Jerkiewicz, G. Corrosion Behavior of Platinum in Aqueous H2SO4 Solution: Part 1—Influence of the potential scan rate and the dissolved gas. Electrocatalysis 2018, 9, 172–181. [Google Scholar] [CrossRef]
  232. Rocchini, G. The influence of the potential sweep rate on the shape of polarization curves. Corros. Sci. 1998, 40, 1753–1768. [Google Scholar] [CrossRef]
  233. Ohtsuka, T.; Nishikata, A.; Sakairi, M.; Fushimi, K. Electrochemical Measurement of Wet Corrosion. In Electrochemistry for Corrosion Fundamentals; Springer: Berlin/Heidelberg, Germany, 2018; pp. 17–39. [Google Scholar]
  234. Lewandowski, D.; Bajerlein, D.; Schroeder, G. Adsorption of hydrogen peroxide on functionalized mesoporous silica surfaces. Struct. Chem. 2014, 25, 1505–1512. [Google Scholar] [CrossRef]
Figure 1. A basic strategy of metal CMP schematically illustrated for processing Cu lines. The vertical arrows on the right indicate progression of surface modifications during the CMP process. (A) Uneven surface topography of electrodeposited Cu before CMP. Chemical and mechanical functions of CMP supporting (B) (electro)chemical formation and (C) mechanical removal of surface layers, respectively. The red arrow in (C) indicates the pad-sample relative velocity. (D) CMP-processed planarized structure.
Figure 1. A basic strategy of metal CMP schematically illustrated for processing Cu lines. The vertical arrows on the right indicate progression of surface modifications during the CMP process. (A) Uneven surface topography of electrodeposited Cu before CMP. Chemical and mechanical functions of CMP supporting (B) (electro)chemical formation and (C) mechanical removal of surface layers, respectively. The red arrow in (C) indicates the pad-sample relative velocity. (D) CMP-processed planarized structure.
Lubricants 12 00063 g001
Figure 2. Schematic of a simple Evans diagram (highlighted in yellow) representing a binary mixed-potential system in which two redox reactions, C and A, are electrochemically coupled. The cathodic and anodic branches of the mixed reaction are formed by the cathodic (reduction) and anodic (oxidation) steps of reactions C and A, respectively. E and I denote the interfacial potential and current, respectively. The equilibrium potentials and currents for the mixed reaction (Ecorr, Icorr) and those of its constituent reactions (Erc, Irc; Era, Ira) are indicated. Ia and Ic are polarization currents of reactions A and C, respectively. The cathodic Tafel branch of Ic intersects with the anodic branch of Ia at the coordinate [log (Icorr), Ecorr]. The arrows shown in dashed lines point at the equilibrium values of the currents and voltages of the mixed potential system. The arrows with solid lines associated with the axis labels indicate the directions of increasing currents or potentials.
Figure 2. Schematic of a simple Evans diagram (highlighted in yellow) representing a binary mixed-potential system in which two redox reactions, C and A, are electrochemically coupled. The cathodic and anodic branches of the mixed reaction are formed by the cathodic (reduction) and anodic (oxidation) steps of reactions C and A, respectively. E and I denote the interfacial potential and current, respectively. The equilibrium potentials and currents for the mixed reaction (Ecorr, Icorr) and those of its constituent reactions (Erc, Irc; Era, Ira) are indicated. Ia and Ic are polarization currents of reactions A and C, respectively. The cathodic Tafel branch of Ic intersects with the anodic branch of Ia at the coordinate [log (Icorr), Ecorr]. The arrows shown in dashed lines point at the equilibrium values of the currents and voltages of the mixed potential system. The arrows with solid lines associated with the axis labels indicate the directions of increasing currents or potentials.
Lubricants 12 00063 g002
Figure 3. (A) Schematic top view of the pad (platen) and sample holder (polisher head) assembly of a commonly used rotary CMP tool. The vectors indicated in the figure are defined in the main text. (B) Schematic drawing of the CMP interface showing (not to scale) pad asperities and abrasive particles in contact with the metal surface subjected to planarization.
Figure 3. (A) Schematic top view of the pad (platen) and sample holder (polisher head) assembly of a commonly used rotary CMP tool. The vectors indicated in the figure are defined in the main text. (B) Schematic drawing of the CMP interface showing (not to scale) pad asperities and abrasive particles in contact with the metal surface subjected to planarization.
Lubricants 12 00063 g003
Figure 4. (A) Photograph of the main components of a tribo-electrochemical cell with a benchtop polisher largely mimicking the polishing configuration of a rotary CMP tool. The cell is equipped with three electrodes: a working electrode (WE) consisting of the CMP sample, set within the polisher head assembly and pressed onto the polishing pad (and hence, not visible here); a counter electrode (CE) made of a stainless-steel strip and connected to a copper ring attached to the outer perimeter of the Teflon cell chamber; a reference electrode connected to the electrolyte (CMP slurry) in the cell via a salt bridge that also contains the same slurry solution. A scalebar included in the lower right corner of panel (A) indicates the instrument’s dimension. (B) A schematic representation of the setup shown in panel (A).
Figure 4. (A) Photograph of the main components of a tribo-electrochemical cell with a benchtop polisher largely mimicking the polishing configuration of a rotary CMP tool. The cell is equipped with three electrodes: a working electrode (WE) consisting of the CMP sample, set within the polisher head assembly and pressed onto the polishing pad (and hence, not visible here); a counter electrode (CE) made of a stainless-steel strip and connected to a copper ring attached to the outer perimeter of the Teflon cell chamber; a reference electrode connected to the electrolyte (CMP slurry) in the cell via a salt bridge that also contains the same slurry solution. A scalebar included in the lower right corner of panel (A) indicates the instrument’s dimension. (B) A schematic representation of the setup shown in panel (A).
Lubricants 12 00063 g004
Figure 5. Open-circuit-potential transients for molybdenum (A) and copper (B) discs obtained in 0.1 M KNO3 + 20 mM SPC +0.1 M citric acid + 3 wt % silica abrasives, used in the polisher setup shown in Figure 4. Plots (a) were recorded in a “dynamic-up” configuration with the platen–sample assembly rotated at a common angular speed of 90 rpm, while the sample surface was maintained in a lifted position of 1 mm above the polishing pad. Plots (b) were collected in a “dynamic-down” configuration using the same platen–sample rotation speed as in (a), but the sample was pressed down onto the pad for CMP at 0.014 MPa pressure.
Figure 5. Open-circuit-potential transients for molybdenum (A) and copper (B) discs obtained in 0.1 M KNO3 + 20 mM SPC +0.1 M citric acid + 3 wt % silica abrasives, used in the polisher setup shown in Figure 4. Plots (a) were recorded in a “dynamic-up” configuration with the platen–sample assembly rotated at a common angular speed of 90 rpm, while the sample surface was maintained in a lifted position of 1 mm above the polishing pad. Plots (b) were collected in a “dynamic-down” configuration using the same platen–sample rotation speed as in (a), but the sample was pressed down onto the pad for CMP at 0.014 MPa pressure.
Lubricants 12 00063 g005
Figure 6. Polarization plots under (a) hold and (b) polish conditions for a cobalt disc in (A) up and (B) down positions in the presence of 0.1 M KNO3 at pH 8. In up position, the sample is placed 1 mm above the polishing pad, and in down position, the sample is pressed against the polishing pad with 0.014 MPa applied pressure. For plots (b) in both panels (A) and (B), the platen–sample assembly was rotated at a common angular speed of 90 rpm.
Figure 6. Polarization plots under (a) hold and (b) polish conditions for a cobalt disc in (A) up and (B) down positions in the presence of 0.1 M KNO3 at pH 8. In up position, the sample is placed 1 mm above the polishing pad, and in down position, the sample is pressed against the polishing pad with 0.014 MPa applied pressure. For plots (b) in both panels (A) and (B), the platen–sample assembly was rotated at a common angular speed of 90 rpm.
Lubricants 12 00063 g006
Figure 7. Smoothening of a tribo-noise-affected PDP plot recorded for a Mo disc sample under polishing conditions. PDP was performed at a scan rate of 5 mV s−1 in a solution of 0.1 M KNO3, while the Mo surface was polished at a down pressure of 0.014 MPa using a common rotation speed of 90 rpm for the platen and the sample. Three different levels of data smoothening using the LOWESS approach are shown here; levels 1, 2, and 3 correspond to span ranges of 0.005, 0.01, and 0.1, respectively. The higher span values correspond to smoother plots. The inset in each panel shows a magnified view of the data from the polarization scan’s cathodic extreme.
Figure 7. Smoothening of a tribo-noise-affected PDP plot recorded for a Mo disc sample under polishing conditions. PDP was performed at a scan rate of 5 mV s−1 in a solution of 0.1 M KNO3, while the Mo surface was polished at a down pressure of 0.014 MPa using a common rotation speed of 90 rpm for the platen and the sample. Three different levels of data smoothening using the LOWESS approach are shown here; levels 1, 2, and 3 correspond to span ranges of 0.005, 0.01, and 0.1, respectively. The higher span values correspond to smoother plots. The inset in each panel shows a magnified view of the data from the polarization scan’s cathodic extreme.
Lubricants 12 00063 g007
Figure 8. Illustrative results of OCP (Eoc) transients measured in alternated cycles of dynamic polishing (P) and stationary hold (H) using (A) Mo and (B) Cu disc samples. In both cases, the metal samples were pressed against a polishing pad at a down pressure of 0.014 MPa. The slurry solutions that also served as electrolytes were (A) 0.1 M KNO3 + 20 mM sodium percarbonate (SPC) at pH = 7, and (B) 0.1 M KNO3 + 20 mM SPC + 0.1 M citric acid at pH = 6 [182]. The potentials were recorded with respect to a saturated-calomel-electrode (SCE) reference.
Figure 8. Illustrative results of OCP (Eoc) transients measured in alternated cycles of dynamic polishing (P) and stationary hold (H) using (A) Mo and (B) Cu disc samples. In both cases, the metal samples were pressed against a polishing pad at a down pressure of 0.014 MPa. The slurry solutions that also served as electrolytes were (A) 0.1 M KNO3 + 20 mM sodium percarbonate (SPC) at pH = 7, and (B) 0.1 M KNO3 + 20 mM SPC + 0.1 M citric acid at pH = 6 [182]. The potentials were recorded with respect to a saturated-calomel-electrode (SCE) reference.
Lubricants 12 00063 g008
Figure 9. (A) Nyquist impedance plots recorded for a Cu disc sample under mechanical polishing at a down pressure of 0.014 MPa using a CMP slurry containing 0.01 M malonic acid, 20 mM SPC, and 0.1M NaHCO3, with (a) 0 and (b) 5 wt% silica [203]. According to the standard convention of plotting Nyquist impedance data, the graphs use orthonormal scales on the real (Z′) and imaginary (Z″) impedance axes (the scale length between the maximum and minimum values is the same on both the Z′ and Z″ axes). The arrows in (A) indicate splitting of the electrode current in different branches at a given instant of the periodic voltage perturbation used for EIS. (B) Electrode equivalent circuit obtained by CNLS fitting the data in (A). The double arrows in (B) represent CPE elements.
Figure 9. (A) Nyquist impedance plots recorded for a Cu disc sample under mechanical polishing at a down pressure of 0.014 MPa using a CMP slurry containing 0.01 M malonic acid, 20 mM SPC, and 0.1M NaHCO3, with (a) 0 and (b) 5 wt% silica [203]. According to the standard convention of plotting Nyquist impedance data, the graphs use orthonormal scales on the real (Z′) and imaginary (Z″) impedance axes (the scale length between the maximum and minimum values is the same on both the Z′ and Z″ axes). The arrows in (A) indicate splitting of the electrode current in different branches at a given instant of the periodic voltage perturbation used for EIS. (B) Electrode equivalent circuit obtained by CNLS fitting the data in (A). The double arrows in (B) represent CPE elements.
Lubricants 12 00063 g009
Figure 10. Schematic representation of the concentration profile of reactants through (A) a transmitting surface film and (B) at the surface of a reflecting surface film. The arrow below (A,B) indicate the direction of approaching the metal-solution interface from the bulk solution. (C) EEC model for a CMP surface containing a porous transmitting film; Rs is the solution resistance. (D) EEC model for a metal surface partially covered by a reflecting surface film. In (C,D), the double-arrows represent the CPE elements.
Figure 10. Schematic representation of the concentration profile of reactants through (A) a transmitting surface film and (B) at the surface of a reflecting surface film. The arrow below (A,B) indicate the direction of approaching the metal-solution interface from the bulk solution. (C) EEC model for a CMP surface containing a porous transmitting film; Rs is the solution resistance. (D) EEC model for a metal surface partially covered by a reflecting surface film. In (C,D), the double-arrows represent the CPE elements.
Lubricants 12 00063 g010
Figure 11. Nyquist impedance plots for a Mo sample recorded in slurry solutions of 0.1 M KNO3, mixed with 20 mM SPC at (a) pH 6 and (b) pH 7. The solid lines are CNLS-fit to the data using the EEC model shown in the inset. The graphs are plotted on optimized, non-orthonormal scales to fully bring out the data trends.
Figure 11. Nyquist impedance plots for a Mo sample recorded in slurry solutions of 0.1 M KNO3, mixed with 20 mM SPC at (a) pH 6 and (b) pH 7. The solid lines are CNLS-fit to the data using the EEC model shown in the inset. The graphs are plotted on optimized, non-orthonormal scales to fully bring out the data trends.
Lubricants 12 00063 g011
Figure 12. Potentiodynamic polarization plots (a) without and (b) with ohmic corrections (IRs) due to the effective solution resistance ( R s ) for a copper disc sample, recorded under the conditions of (A) stationary hold and (B) dynamic polishing. The slurry solution was 0.1 M KNO3 + 20 mM SPC + 0.1 M citric acid abrasives at pH = 8, mixed with 3 wt% silica.
Figure 12. Potentiodynamic polarization plots (a) without and (b) with ohmic corrections (IRs) due to the effective solution resistance ( R s ) for a copper disc sample, recorded under the conditions of (A) stationary hold and (B) dynamic polishing. The slurry solution was 0.1 M KNO3 + 20 mM SPC + 0.1 M citric acid abrasives at pH = 8, mixed with 3 wt% silica.
Lubricants 12 00063 g012
Figure 13. Potentiodynamic polarization plots of a Cu CMP sample, recorded using the slurry solution considered in Figure 8B, with [plots (b) and (c)] and without [plot (a)] the inclusion of citric acid (CA) as a complexing agent. The potential was scanned at a rate of 5 mV s−1 in all cases. The plots were corrected for ohmic effects, and the Rs values used for these corrections (listed in the figure) were separately measured using EIS. The corrosion currents and corrosion potentials determined from the PDP plots are also listed in the figure.
Figure 13. Potentiodynamic polarization plots of a Cu CMP sample, recorded using the slurry solution considered in Figure 8B, with [plots (b) and (c)] and without [plot (a)] the inclusion of citric acid (CA) as a complexing agent. The potential was scanned at a rate of 5 mV s−1 in all cases. The plots were corrected for ohmic effects, and the Rs values used for these corrections (listed in the figure) were separately measured using EIS. The corrosion currents and corrosion potentials determined from the PDP plots are also listed in the figure.
Lubricants 12 00063 g013
Figure 14. Chronoamperometric cathodic current transients for a Cu sample obtained in abrasive-free slurries of 50 mM SPC + 0.1 M NaHCO3, mixed with malonic acid at the concentration of (A) 0.03 M or (B) 0.05 M. pH = 7.5. Solution pH = (A) 7.5 and (B) 6.5 [203].
Figure 14. Chronoamperometric cathodic current transients for a Cu sample obtained in abrasive-free slurries of 50 mM SPC + 0.1 M NaHCO3, mixed with malonic acid at the concentration of (A) 0.03 M or (B) 0.05 M. pH = 7.5. Solution pH = (A) 7.5 and (B) 6.5 [203].
Lubricants 12 00063 g014
Figure 15. (A) Potential profiles recorded in response to current interruption for a molybdenum disc pressed against a polishing pad at 0.014 MPa in a CMP slurry of 0.1 M citric acid, 20 mM SPC, and 0.03 wt% SiO2 abrasives at pH = 8 under (a) stationary-hold and (b) dynamic-polishing conditions. The inset in (A) shows the temporal profile of the applied anodic current. (B) Post-interruption potential profiles taken from (A) and subjected to calculated fits using Equation (20).
Figure 15. (A) Potential profiles recorded in response to current interruption for a molybdenum disc pressed against a polishing pad at 0.014 MPa in a CMP slurry of 0.1 M citric acid, 20 mM SPC, and 0.03 wt% SiO2 abrasives at pH = 8 under (a) stationary-hold and (b) dynamic-polishing conditions. The inset in (A) shows the temporal profile of the applied anodic current. (B) Post-interruption potential profiles taken from (A) and subjected to calculated fits using Equation (20).
Lubricants 12 00063 g015
Figure 16. (A) Linear polarization plot for a copper disc in the potential range Ecorr ± 20 mV, using a citric acid (0.1 M) solution with 20 mM SPC at pH = 6. Reciprocal slope of line fit was used to determine Rp. The coefficient of determination (R2 value, indicating the quality of linear fit is included in (A)) (B) Nyquist impedance plot recorded at OCP for same system examined in (A) (presented here using optimized, non-orthonormal scales). The symbol are data points, and the line is a CNLS fit using the EEC model shown in (C). The double-arrows in (C) represent CPE elements.
Figure 16. (A) Linear polarization plot for a copper disc in the potential range Ecorr ± 20 mV, using a citric acid (0.1 M) solution with 20 mM SPC at pH = 6. Reciprocal slope of line fit was used to determine Rp. The coefficient of determination (R2 value, indicating the quality of linear fit is included in (A)) (B) Nyquist impedance plot recorded at OCP for same system examined in (A) (presented here using optimized, non-orthonormal scales). The symbol are data points, and the line is a CNLS fit using the EEC model shown in (C). The double-arrows in (C) represent CPE elements.
Lubricants 12 00063 g016
Figure 17. Corrosion potentials obtained from Tafel extrapolation and corresponding open-circuit potentials, compared under hold and polish conditions in the absence (A) and presence (B) of citric acid (complexing agent) at different SiO2 contents.
Figure 17. Corrosion potentials obtained from Tafel extrapolation and corresponding open-circuit potentials, compared under hold and polish conditions in the absence (A) and presence (B) of citric acid (complexing agent) at different SiO2 contents.
Lubricants 12 00063 g017
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Gamagedara, K.; Roy, D. Experimental Strategies for Studying Tribo-Electrochemical Aspects of Chemical–Mechanical Planarization. Lubricants 2024, 12, 63. https://doi.org/10.3390/lubricants12020063

AMA Style

Gamagedara K, Roy D. Experimental Strategies for Studying Tribo-Electrochemical Aspects of Chemical–Mechanical Planarization. Lubricants. 2024; 12(2):63. https://doi.org/10.3390/lubricants12020063

Chicago/Turabian Style

Gamagedara, Kassapa, and Dipankar Roy. 2024. "Experimental Strategies for Studying Tribo-Electrochemical Aspects of Chemical–Mechanical Planarization" Lubricants 12, no. 2: 63. https://doi.org/10.3390/lubricants12020063

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop