Next Article in Journal
Joint Reduction of NiO/WO3 Pair and NiWO4 by Mg + C Combined Reducer at High Heating Rates
Previous Article in Journal
The Role of Retained Austenite in Tempered Martensite Embrittlement of 4340 and 300-M Steels Investigated through Rapid Tempering
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Effects of Oxygen Precursor on Resistive Switching Properties of CMOS Compatible HfO2-Based RRAM

Division of Electronics and Electrical Engineering, Dongguk University, Seoul 04620, Korea
*
Author to whom correspondence should be addressed.
Metals 2021, 11(9), 1350; https://doi.org/10.3390/met11091350
Submission received: 1 August 2021 / Revised: 21 August 2021 / Accepted: 24 August 2021 / Published: 27 August 2021

Abstract

:
In this work, we investigate the resistive switching behaviors of HfO2-based resistive random-access memory (RRAM) in two different oxidants (H2O and O3) in an atomic layer deposition system. Firstly, the surface characteristics of the Ni/HfO2/Si stack are conducted by atomic force microscopy (AFM). A similar thickness is confirmed by scanning electron microscope (SEM) imaging. The surface roughness of the HfO2 film by O3 (O3 sample) is smoother than in the sample by H2O (H2O sample). Next, we conduct electrical characteristics by current–voltage (I–V) and capacitor–voltage (C–V) curves in an initial process. The forming voltage of the H2O sample is smaller than that of the O3 sample because the H2O sample incorporates a lot of H+ in the film. Additionally, the smaller capacitor value of the H2O sample is obtained due to the higher interface trap in H2O sample. Finally, we compare the resistive switching behaviors of both samples by DC sweep. The H2O sample has more increased endurance, with a smaller on/off ratio than the O3 sample. Both have good non-volatile properties, which is verified by the retention test.

1. Introduction

Resistive switching is a physical behavior where at least two conductance levels are reversible with non-volatile properties in the metal–insulator–metal structure [1,2,3,4]. The conductance change can be controllable by external bias. The emerging memory in which resistive switching is observed can be divided into three categories. RRAM includes a lot of materials, including metal oxide, metal nitride, silicon-based materials, and organic materials that exhibit various unipolar and bipolar resistive switching behaviors [2]. Phase-change random-access memory (PRAM) shows unipolar resistive switching in which the phase of Ge2Sb2Te5 can be reversible by a heater such as TiN [5]. Magnetoresistive random-access memory (MRAM) also shows resistance change by controlling the magnetization of magnetic material [6]. RRAM has the advantage of being capable of tunable resistive switching, which is applicable to the various application as storage memory [7,8,9,10,11,12,13,14,15,16,17,18,19,20], logic-in-memory [21], and neuromorphic computing [22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40]. Moreover, RRAM shows low-power operation, high endurance, good retention, high-density integration, and good complementary metal–oxide–semiconductor (CMOS) compatibility in terms of process and material. Until now, metal-oxide-based RRAMs such as HfO2 and TaOx show superior performance in terms of endurance, retention, the uniformity of switching parameters, and reproducibility over other material systems [41,42].
The resistive switching characteristics of RRAM depends on the materials of the top and bottom electrode and the insulator [1,2]. For example, metal oxides such as HfO2 are used for RRAM. Diffusive electrodes such as Ag and Cu are the source of the conducting filament [18,43]. On the other hand, the oxygen vacancies can act as conducting filament when using non-diffusive electrodes such as Pt, Al, and TiN [44,45,46]. The deposition technique of the insulator plays an important role in the resistive switching properties. For example, the atomic layer deposition (ALD) and chemical vapor deposition (CVD) techniques and a sputtering system are the most common ways for the insulator to act as a resistive switching layer. ALD is particularly suitable for the precise deposition of thin film (thinner than 5 nm) [47]. An ALD-deposited HfO2 dielectric is commonly used as a gate oxide in the metal–oxide–semiconductor field-effect transistor (MOSFET) because HfO2 has a higher dielectric constant than SiO2 [48]. Moreover, ALD-deposited HfO2 film is the leading material for RRAM application [49,50]. Until now, excellent resistive switching memory properties for variability, endurance, retention were reported in HfO2-based RRAM [44,50]. ALD is suitable for 3D vertical RRAM structures due to its superior step coverage [51]. The electrical and material characteristics of ALD-deposited HfO2 can be varied according to the metal and oxygen precursors. There are several previous works for ALD-HfO2-based RRAM and HfO2 film itself [52,53,54,55,56]. The effects of the process temperature and plasma parameters on the material properties of ALD HfO2 film are discussed in [52]. Cell-to-cell variation was experimentally verified 1T1R (1 transistor + 1 resistor) based on HfO2 RRAM devices [53]. The scavenging effect of Ti was discussed regarding a Co/HfO2/Ti device [54]. The oxygen vacancies were considered for resistive switching in the Ti/HfOx/Pt structure [55]. The resistive switching characteristics were modified by adding Al in a HfO2 film [56].
In this work, two HfO2-based RRAM samples were prepared with different oxygen precursors (H2O and O3). The surface analysis was conducted by AFM imaging, and the similar thickness of HfO2 in two samples was confirmed by SEM imaging. Next, the electrical characteristics of the two samples were compared by initial C–V and I–V curves. Finally, we compared the basic properties, such as the endurance, retention, HRS, and LRS distribution, of RRAM in two samples.

2. Materials and Methods

Two HfO2 RRAM devices with different oxygen reactants were fabricated as follows. Organic material of a 6-inch silicon wafer was removed by SPM and HPM standard cleaning processes. Ion implantation was carried out by a medium current ion implanter (Varian, E220) to increase the conductivity of silicon substrates and use them as bottom electrodes. The dose of BF2+ was 5 × 1015 cm−2, and the acceleration energy was 40 KeV for the p++-Si surface. Here, we removed native oxide by HF dipping and metallic contaminants by HPM cleaning. After the bottom electrode was fabricated, a 20 × 20 mm specimen sample was produced using a dicing saw. Additionally, HfO2 7 nm as the switching layer was deposited using ALD by tetrakis (dimethylamino)hafnium (TDMAHf) and other oxygen reactants (O3 and H2O). First, the ALD (CN1, Atomic premium) process sequence of O3-based HfO2 for one cycle is as follows. TDMAHf 0.5 s/N2 purge 6 s/O3 0.5 s/N2 purge 9 s, shown in Figure 1a. Second, the ALD process sequence of H2O-based HfO2 for one cycle is only different from the last oxygen reactants’ purge time at 20 s, shown in Figure 1b. In this work, we optimized the growth per cycle in HfO2 with the H2O precursor by controlling the reactant dosing time, reactant purge time, and stage temperature, shown in Figure S1. Because H2O is not easily removed from the chamber, it requires a relatively long purge time compared to O3. In order to deposit the same target thickness of 7 nm, O3 and H2O were used to perform 68 cycles at 350 °C and 84 cycles at 260 °C, respectively. Finally, a 100 nm thick Ni as the top electrode was deposited as TE by an E-gun evaporator (MAESTEK, ZZS550, Pyeongtaek, Korea), and the cells were separated using a shadow mask. A Keithley 4200-SCS semiconductor parameter analyzer and a 4225-PMU Solon. OH, USA in the probe station were used to measure the electrical characteristics. Additionally, a bias was applied to the top electrode and the bottom electrode was grounded.

3. Results and Discussion

We confirmed the thickness of the dielectrics by cross-sectional SEM imaging before we compared the resistive switching properties of both samples, shown in Figure 2a,b. The HfO2 layer of the H2O sample and O3 sample were both about 7 nm, which corresponded to the target deposition thickness. The resistive switching could be affected by the roughness effects [57]. Figure 3a,b shows the surface of two samples of HfO2 measured in the non-contact mode of AFM. Each mean value of roughness was 0.245 nm and 0.262 nm for the O3 sample and H2O sample, respectively. It was found that the surface roughness of the O3 sample and H2O sample did not differ greatly.
Next, we investigated the initial state to find the effect of oxygen precursor on the electrical characteristics of the HfO2-based metal–oxide–semiconductor capacitor. Figure 4a,b show the C–V characteristics with different frequency ranges (1 kHz to 500 kHz). The O3 sample has higher capacitance values than the H2O sample. Although the O3 precursor has a stronger oxidizing ability than H2O precursor, unwanted interfacial growth of SiOx on a silicon substrate is higher [58,59], but since there are fewer interface traps at the interface in the O3 sample, it was judged that the capacitance value will be larger in O3 sample. A correlation study of the growth rate, thickness uniformity, stoichiometry, and hydrogen impurity level was discussed in previous work [60].
Figure 5a shows the I–V characteristics in both samples. The O3 sample requires approximately 2 V higher forming voltage than the H2O sample. Forming voltage is an initial characterization of the device and cannot be controlled, unlike the set voltage and reset voltage. Forming voltage distribution in 20 cells is displayed in Figure 5b. In terms of initial current, the H2O sample has a higher initial current than the O2 sample. For the H2O sample, the quality of the thin film is not good, and there are a lot of (C, H) impurities in the dielectric [57,58]. However, since there are relatively many oxygen defects, which could be beneficial to RRAM behaviors, it is easier to operate the resistive switching with a smaller voltage compared to O3 RRAM. In general, if there are many defects of the dielectric and interface in MOSFET, the performance of the transistor deteriorates, but in the case of RRAM, an oxygen defect should exist for the current to flow well. In addition, C, H impurity can become a conductive path, which additionally provides a path for electrons to move, and the operating voltage is lowered [61].
Next, we focus on the resistive switching behavior in both samples. Figure 6a shows the typical I–V characteristics of set and reset processes in bipolar resistive switching. It is noted that the forming and set processes at negative bias are conducted to exclude the Ni diffusion and consider the resistive switching by oxygen vacancies. The set process is conducted with a compliance current (CC) of 1 mA that can confine the conducting filament properly in the HfO2 dielectrics. As the current increases rapidly at the set voltage, the state of the RRAM devices is switched from the high-resistance state (HRS) to the low-resistance state (LRS) by the set process. The conducting filament is formed by an increase in oxygen vacancies when the electric field is applied to the RRAM devices. On the other hand, the reset process occurs with a positive bias. The conducting filament composed of oxygen vacancies is ruptured with an opposite bias of the set process. Figure 6b,c show the endurance cycles of O3 and H2O samples, respectively. The LRS resistance is similar due to the same controlled CC of 1 mA in both samples. However, the HRS of the H2O sample has a larger variation during cycling than the O3 sample. This is attributed to the fact that the deep reset of the O3 sample could be irregular. These properties are confirmed to be the cumulative probability of HRS and LRS distribution again in Figure 6d. Finally, a retention test was conducted in the LRS and HRS to check the non-volatile property of both samples. All have no significant degradation for the 10,000 s in Figure 6e.

4. Conclusions

In summary, the memristive switching behaviors of HfO2-based RRAM is studied in two different oxidant precursors (H2O and O3) in the ALD technique. Firstly, the surface characterization of the Ni/HfO2/Si RRAM device is performed by AFM. The surface roughness of the O3 sample is better than in the H2O sample. Next, the electrical characteristics of the initial state and forming process are performed by I–V and C–V curves. The forming voltage of the H2O sample is smaller than that of the O3 sample because the H2O sample includes more hydrogen ions in the HfO2 dielectric. Additionally, the smaller capacitance of the H2O sample is measured due to a lot of interface traps in the H2O sample. Finally, the resistive switching behaviors of both samples are evaluated by the DC sweep mode. The H2O sample has a higher endurance and smaller on/off ratio than the O3 sample. Good non-volatile properties in the LRS and HRS are observed, which is confirmed by the retention test.

Supplementary Materials

The following are available online at https://www.mdpi.com/article/10.3390/met11091350/s1. Figure S1: HfO2 ALD (atomic layer deposition) optimization with H2O as oxygen precursor. (a–d) Growth per cycle (GPC) as a Figure 2. Thickness as a function of ALD cycles.

Author Contributions

H.R. conducted the electrical measurements and wrote the manuscript; S.K. designed the experiment and supervised the study. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported in part by a National Research Foundation of Korea (NRF) grant funded by Ministry of Science and ICT (2021R1C1C1004422) and by Korea Institute of Energy Technology Evaluation and Planning (KETEP) and the Ministry of Trade, Industry and Energy (MOTIE) of the Republic of Korea (no.20194030202320).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Lanza, M.; Wong, H.-S.P.; Pop, E.; Ielmini, D.; Strukov, D.; Regan, B.C.; Larcher, L.; Villena, M.A.; Yang, J.J.; Goux, L.; et al. Recommended Methods to Study Resistive Switching Devices. Adv. Electron. Mater. 2018, 5, 1800143. [Google Scholar] [CrossRef] [Green Version]
  2. Pan, F.; Gao, S.; Chen, C.; Song, C.; Zeng, F. Recent progress in resistive random access memories: Materials, switching mechanisms, and performance. Mater. Sci. Eng. R Rep. 2014, 83, 1–59. [Google Scholar] [CrossRef]
  3. Waser, R.; Dittmann, R.; Staikov, G.; Szot, K. Redox-Based Resistive Switching Memories—Nanoionic Mechanisms, Prospects, and Challenges. Adv. Mater. 2009, 21, 2632–2663. [Google Scholar] [CrossRef]
  4. Shen, Z.; Zhao, C.; Qi, Y.; Xu, W.; Liu, Y.; Mitrovic, I.Z.; Yang, L.; Zhao, C. Advances of RRAM Devices: Resistive Switching Mechanisms, Materials and Bionic Synaptic Application. Nanomaterials 2020, 10, 1437. [Google Scholar] [CrossRef] [PubMed]
  5. Fong, S.W.; Neumann, C.M.; Wong, H.S.P. Phase-Change Memory—Towards a Storage-Class Memory. IEEE Trans. Electron Devices 2017, 64, 4374–4385. [Google Scholar] [CrossRef]
  6. Zhao, W.S.; Zhang, Y.; Devolder, Y.; Klein, J.O.; Ravelosona, D.; Chappert, C.; Mazoyer, P. Failure and reliability analysis of STT-MRAM. Microelectron. Reliab. 2012, 52, 1848–1852. [Google Scholar] [CrossRef]
  7. Wu, M.C.; Lin, Y.-W.; Jang, W.-Y.; Lin, C.-H.; Tseng, T.-Y. Low-power and highly reliable multilevel operation in ZrO2 1T1R RRAM. IEEE Electron Device Lett. 2011, 32, 1026–1028. [Google Scholar] [CrossRef]
  8. Su, T.-H.; Lee, K.-J.; Wang, L.-W.; Chang, Y.-C.; Wang, Y.-H. Resistive Switching Behavior of Magnesium Zirconia Nickel Nanorods. Materials 2020, 13, 2755. [Google Scholar] [CrossRef] [PubMed]
  9. Ryu, H.; Kim, S. Irregular Resistive Switching Behaviors of Al2O3-Based Resistor with Cu Electrode. Metals 2021, 11, 653. [Google Scholar] [CrossRef]
  10. Ryu, H.; Kim, S. Gradually Modified Conductance in the Self-Compliance Region of an Atomic-Layer-Deposited Pt/TiO2/HfAlOx/TiN RRAM Device. Metals 2021, 11, 1199. [Google Scholar] [CrossRef]
  11. Maikap, S.; Banergee, W. In Quest of Nonfilamentary Switching: A Synergistic Approach of Dual Nanostructure Engineering to Improve the Variability and Reliability of Resistive Random-Access-Memory Devices. Adv. Electron. Mater. 2020, 6, 2000209. [Google Scholar] [CrossRef]
  12. Choi, J.; Kim, S. Nonlinear Characteristics of Complementary Resistive Switching in HfAlOx-Based Memristor for High-Density Cross-Point Array Structure. Coatings 2020, 10, 765. [Google Scholar] [CrossRef]
  13. Pérez, E.; Ossorio, Ó.G.; Dueñas, S.; Castán, H.; García, H.; Wenger, C. Programming Pulse Width Assessment for Reliable and Low-Energy Endurance Performance in Al:HfO2-Based RRAM Arrays. Electronics 2020, 9, 864. [Google Scholar] [CrossRef]
  14. Mikhaylov, A.; Belov, A.; Korolev, D.; Antonov, I.; Kotomina, V.; Kotina, A.; Gryaznov, E.; Sharapov, A.; Koryazhkina, M.; Kryukov, R.; et al. Multilayer Metal-Oxide Memristive Device with Stabilized Resistive Switching. Adv. Mater. Technol. 2020, 5, 1900607. [Google Scholar] [CrossRef]
  15. Ismail, M.; Kim, S. Negative differential resistance effect and dual resistive switching properties in a transparent Ce-based devices with opposite forming polarity. Appl. Surf. Sci. 2020, 530, 147284. [Google Scholar] [CrossRef]
  16. Peng, C.S.; Chang, W.Y.; Lin, M.H.; Chen, W.S.; Chen, F.; Tsai, M.J. Polarity Reversion of the Operation Mode of HfO2-Based Resistive Random Access Memory Devices by Inserting Hf Metal Layer. J. Nanosci. Nanotechnol. 2013, 13, 1733–1737. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  17. Prakash, A.; Jana, D.; Maikap, S. TaOx-based resistive switching memories: Prospective and challenges. Nanoscale Res. Lett. 2013, 8, 418. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  18. Yang, J.; Ryu, H.; Kim, S. Resistive and synaptic properties modulation by electroforming polarity in CMOS-compatible Cu/HfO2/Si device. Chaos Solitons Fractals 2021, 145, 110783. [Google Scholar] [CrossRef]
  19. Ryu, H.; Kim, S. Gradually Tunable Conductance in TiO2/Al2O3 Bilayer Resistors for Synaptic Device. Metals 2021, 11, 440. [Google Scholar] [CrossRef]
  20. Ryu, H.; Kim, S. Self-Rectifying Resistive Switching and Short-Term Memory Characteristics in Pt/HfO2/TaOx/TiN Artificial Synaptic Device. Nanomaterials 2020, 10, 2159. [Google Scholar] [CrossRef] [PubMed]
  21. Ielmini, D.; Wong, H.-S.P. In-memory computing with resistive switching devices. Nat. Electron. 2018, 1, 333–343. [Google Scholar] [CrossRef]
  22. Yang, J.J.; Strukov, D.B.; Stewart, D.R. Memristive devices for computing. Nat. Nanotechnol. 2013, 8, 13–24. [Google Scholar] [CrossRef] [PubMed]
  23. Jo, S.H.; Chang, T.; Ebong, I.; Bhadviya, B.B.; Mazumder, P.; Lu, W. Nanoscale memristor device as synapse in neuromorphic. Nano Lett. 2010, 10, 1297–1301. [Google Scholar] [CrossRef] [PubMed]
  24. Cho, H.; Kim, S. Short-Term Memory Dynamics of TiN/Ti/TiO2/SiOx/Si Resistive Random Access Memory. Nanomaterials 2020, 10, 1821. [Google Scholar] [CrossRef]
  25. Cho, H.; Kim, S. Emulation of Biological Synapse Characteristics from Cu/AlN/TiN Conductive Bridge Random Access Memory. Nanomaterials 2020, 10, 1709. [Google Scholar] [CrossRef] [PubMed]
  26. Mikhaylov, A.; Pimashkim, A.; Pigareva, Y.; Gerasimova, S.; Gryaznov, E.; Shchanikov, S.; Zuev, A.; Talanov, M.; Lavrov, I.; Demin, V.; et al. Neurohybrid memristive CMOS-integrated systems for biosensors and neuroprosthetics. Front. Neurosci. 2020, 14, 358. [Google Scholar] [CrossRef]
  27. Surazhevsky, I.A.; Demin, V.A.; IIlyasov, A.L.; Emelyanov, A.V.; Nikiruy, K.E.; Rylkov, V.V.; Shchanikov, S.; Bordanov, I.; Gerasimova, S.A.; Guseinov, D.; et al. Noise-assisted persistence and recovery of memory state in a memristive spiking neuromorphic network. Chaos Solitons Fractals 2020, 146, 110890. [Google Scholar] [CrossRef]
  28. Cho, H.; Kim, S. Enhancing Short-Term Plasticity by Inserting a Thin TiO2 Layer in WOx-Based Resistive Switching Memory. Coatings 2020, 10, 908. [Google Scholar] [CrossRef]
  29. Ryu, H.; Choi, J.; Kim, S. Voltage Amplitude-Controlled Synaptic Plasticity from Complementary Resistive Switching in Alloying HfOx with AlOx-Based RRAM. Metals 2020, 10, 1410. [Google Scholar] [CrossRef]
  30. Ryu, H.; Kim, S. Pseudo-Interface Switching of a Two-Terminal TaOx/HfO2 Synaptic Device for Neuromorphic Applications. Nanomaterials 2020, 10, 1550. [Google Scholar] [CrossRef]
  31. Kim, T.H.; Nili, H.; Kim, M.H.; Min, K.K.; Park, B.G.; Kim, H. Reset-voltage-dependent precise tuning operation of TiOx/Al2O3 memristive crossbar array. Appl. Phys. Lett. 2020, 117, 152103. [Google Scholar] [CrossRef]
  32. Ryu, H.; Kim, S. Volatile Resistive Switching Characteristics of Pt/HfO2/TaOx/TiN Short-Term Memory Device. Metals 2021, 11, 1207. [Google Scholar] [CrossRef]
  33. Ryu, H.; Kim, S. Implementation of a reservoir computing system using the short-term effects of Pt/HfO2/TaOx/TiN memristors with self-rectification. Chaos Solitons Fractals 2021, 150, 111223. [Google Scholar] [CrossRef]
  34. Wang, I.T.; Chang, C.C.; Chiu, L.W.; Chou, T.; Hou, T.H. 3D Ta/TaOx/TiO2/Ti synaptic array and linearity tuning of weight update for hardware neural network applications. Nanotechnology 2016, 27, 365204. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  35. Park, J.; Ryu, H.; Kim, S. Nonideal resistive and synaptic characteristics in Ag/ZnO/TiN device for neuromorphic system. Sci. Rep. 2021, 11, 16601. [Google Scholar] [CrossRef] [PubMed]
  36. Hwang, H.-G.; Woo, J.-U.; Lee, T.-H.; Park, S.M.; Lee, T.-G.; Lee, W.H.; Nahm, S. Synaptic plasticity and preliminary-spike-enhanced plasticity in a CMOS-compatible Ta2O5 memristor. Mater. Des. 2020, 187, 108400. [Google Scholar] [CrossRef]
  37. Padovani, A.; Woo, J.; Hwang, H.; Larcher, L. Understanding and Optimization of Pulsed SET Operation in HfOx-Based RRAM Devices for Neuromorphic Computing Applications. IEEE Electron Device Lett. 2018, 39, 672–675. [Google Scholar] [CrossRef]
  38. Zhang, W.; Gao, B.; Tang, J.; Li, X.; Wu, W.; Qian, H.; Wu, H. Analog-Type Resistive Switching Devices for Neuromorphic Computing. Phys. Status Solidi—Rapid Res. Lett. 2019, 13, 1900204. [Google Scholar] [CrossRef]
  39. Zhao, M.; Gao, B.; Tang, J.; Qian, H.; Wu, H. Reliability of analog resistive switching memory for neuromorphic computing. Appl. Phys. Rev. 2020, 7, 011301. [Google Scholar] [CrossRef]
  40. Emelyanov, A.V.; Nikiruy, K.E.; Serenko, A.V.; Sitnikov, A.V.; Presnyakov, M.Y.; Rybka, R.B.; Sboev, A.G.; Rylkov, V.V.; Kashkarov, P.K.; Kovalchuk, M.V.; et al. Self-adaptive STDP-based learning of a spiking neuron with nanocomposite memristive weights. Nanotechnology 2020, 31, 045201. [Google Scholar] [CrossRef]
  41. Lee, M.J.; Lee, C.B.; Lee, D.; Lee, S.R.; Chang, M.; Hur, J.H.; Kim, Y.-B.; Kim, C.-J.; Seo, D.H.; Chung, U.-I.; et al. A fast, high endurance and scalable non-volatile memory device made from asymmetric Ta2O5-x/TaO2-x bilayer structures. Nat. Mater. 2011, 10, 625–630. [Google Scholar] [CrossRef] [PubMed]
  42. Wang, Y.; Liu, Q.; Long, S.B.; Wang, W.; Wang, Q.; Zhang, M.H.; Zhang, S.; Li, Y.; Zuo, Q.; Yang, J.; et al. Investigation of resistive switching in Cu-doped HfO2 thin film for multilevel non-volatile memory applications. Nanotechnology 2010, 21, 045202. [Google Scholar] [CrossRef]
  43. Chen, L.; He, Z.-Y.; Wang, T.-Y.; Dai, Y.-W.; Zhu, H.; Sun, Q.-Q.; Zhang, D.W. CMOS Compatible Bio-Realistic Implementation with Ag/HfO2-Based Synaptic Nanoelectronics for Artificial Neuromorphic System. Electronics 2018, 7, 80. [Google Scholar] [CrossRef] [Green Version]
  44. Lin, J.; Wang, S.; Liu, H. Multi-Level Switching of Al-Doped HfO2 RRAM with a Single Voltage Amplitude Set Pulse. Electronics 2021, 10, 731. [Google Scholar] [CrossRef]
  45. Ryu, H.; Kim, S. Improved Pulse-Controlled Conductance Adjustment in Trilayer Resistors by Suppressing Current Overshoot. Nanomaterials 2020, 10, 2462. [Google Scholar] [CrossRef]
  46. Liu, C.-F.; Tang, X.-G.; Wang, L.-Q.; Tang, H.; Jiang, Y.-P.; Liu, Q.-X.; Li, W.-H.; Tang, Z.-H. Resistive Switching Characteristics of HfO2 Thin Films on Mica Substrates Prepared by Sol-Gel Process. Nanomaterials 2019, 9, 1124. [Google Scholar] [CrossRef] [Green Version]
  47. George, S.M. Atomic Layer Deposition: An Overview. Chem. Rev. 2016, 110, 111–131. [Google Scholar] [CrossRef]
  48. Liu, J.; Koide, Y. An Overview of High-k Oxides on Hydrogenated-Diamond for Metal-Oxide-Semiconductor Capacitors and Field-Effect Transistors. Sensors 2018, 18, 1813. [Google Scholar] [CrossRef] [Green Version]
  49. Kim, S.; Kwon, O.; Ryu, H.; Kim, S. Improved Synaptic Device Properties of HfAlOx Dielectric on Highly Doped Silicon Substrate by Partial Reset Process. Metals 2021, 11, 772. [Google Scholar] [CrossRef]
  50. Mahata, C.; Kim, S. Modified resistive switching performance by increasing Al concentration in HfO2 on transparent indium tin oxide electrode. Ceram. Int. 2021, 47, 1199–1207. [Google Scholar] [CrossRef]
  51. Bai, Y.; Wu, H.; Wu, R.; Zhang, Y.; Deng, N.; Yu, Z.; Qian, H. Study of Multi-level Characteristics for 3D Vertical Resistive Switching Memory. Sci. Rep. 2014, 4, 5780. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  52. Lapteva, M.; Beladiya, V.; Riese, S.; Hanke, P.; Otto, F.; Fritz, T.; Schmitt, P.; Stenzel, O.; Tünnermann, A.; Szeghalmi, A. Influence of temperature and plasma parameters on the properties of PEALD HfO2. Opt. Mater. Express 2021, 11, 1918–1942. [Google Scholar] [CrossRef]
  53. Grossi, A.; Perez, E.; Zambelli, C.; Olivo, P.; Miranda, E.; Roelofs, R.; Woodruff, J.; Raisanen, P.; Li, W.; Givens, M.; et al. Impact of the precursor chemistry and process conditions on the cell-to-cell variability in 1T-1R based HfO2 RRAM devices. Sci. Rep. 2018, 8, 11160. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  54. Zazpe, R.; Ungureanu, M.; Golmar, F.; Stoliar, P.; Llopis, R.; Casanova, F.; Pickip, D.; Rogero, C.; Hueso, L.E. Resistive switching dependence on atomic layer deposition parameters in HfO2-based memory devices. J. Mater. Chem. C 2014, 2, 3204–3211. [Google Scholar] [CrossRef]
  55. Sokolov, A.S.; Jeon, Y.R.; Kim, S. Influence of oxygen vacancies in ALD HfO2-x thin films on non-volatile resistive switching phenomena with a Ti/HfO2-x/Pt structure. Appl. Surf. Sci. 2018, 434, 822–830. [Google Scholar] [CrossRef]
  56. Chandrasekaran, S.; Simanjuntak, F.M.; Saminatha, R.; Panda, D.; Tseng, T.Y. Improving linearity by introducing Al in HfO2 as a memristor synapse device. Nanotechnology 2019, 30, 445205. [Google Scholar] [CrossRef]
  57. Won, S.; Lee, S.Y.; Park, J.; Seo, H. Forming-less and Non-Volatile Resistive Switching in WOX by Oxygen Vacancy Control at Interfaces. Sci. Rep. 2017, 7, 10186. [Google Scholar] [CrossRef] [Green Version]
  58. Cheng, Y.L.; Chang, Y.L.; Hsieh, C.Y.; Lin, J.R. Comprehensive comparison of structural, electrical, and reliability characteristics of HfO2 gate dielectric with H2O or O3 oxidant. J. Vac. Sci. Technol. A 2013, 31, 01A141. [Google Scholar] [CrossRef]
  59. Lee, S.Y.; Kim, H.K.; Lee, J.H.; Yu, I.H.; Lee, J.H.; Hwang, C.S. Effects of O3 and H2O as oxygen sources on the atomic layer deposition of HfO2 gate dielectrics at different deposition temperatures. J. Mater. Chem. C 2014, 2, 2558. [Google Scholar] [CrossRef]
  60. Blachke, D.; Munnik, F.; Grenzer, J.; Rebohle, L.; Schmidt, H.; Zahn, P.; Gemming, S. A correlation study of layer growth rate, thickness uniformity, stoichiometry, and hydrogen impurity level in HfO2 thin films grown by ALD between 100 C and 350 C. Appl. Surf. Sci. 2020, 506, 144188. [Google Scholar] [CrossRef]
  61. Park, I.S.; Lee, J.; Yoon, S.; Chung, K.J.; Lee, S.; Park, J.; Kim, C.K.; Ahn, J. Oxidant Effect on Resistance Switching Characteristics of HfO2 film Grown Atomic Layer Deposition. ECS Trans. 2007, 11, 61–67. [Google Scholar] [CrossRef]
Figure 1. ALD (atomic layer deposition) process for HfO2 dielectric. (a) O3 precursor and (b) H2O precursor.
Figure 1. ALD (atomic layer deposition) process for HfO2 dielectric. (a) O3 precursor and (b) H2O precursor.
Metals 11 01350 g001
Figure 2. Cross-sectional SEM (scanning electron microscope) images of (a) O3 sample and (b) H2O sample of Ni/HfO2/Si RRAM (resistive random-access memory) devices.
Figure 2. Cross-sectional SEM (scanning electron microscope) images of (a) O3 sample and (b) H2O sample of Ni/HfO2/Si RRAM (resistive random-access memory) devices.
Metals 11 01350 g002
Figure 3. AFM (atomic force microscopy) images of (a) O3 sample and (b) H2O sample of Ni/HfO2/Si RRAM devices.
Figure 3. AFM (atomic force microscopy) images of (a) O3 sample and (b) H2O sample of Ni/HfO2/Si RRAM devices.
Metals 11 01350 g003
Figure 4. (a) Typical I–V forming curves and (b) forming voltage distribution of O3 and H2O samples. CC: compliance current.
Figure 4. (a) Typical I–V forming curves and (b) forming voltage distribution of O3 and H2O samples. CC: compliance current.
Metals 11 01350 g004
Figure 5. C–V curves of (a) O3 sample and (b) H2O sample of Ni/HfO2/Si RRAM devices.
Figure 5. C–V curves of (a) O3 sample and (b) H2O sample of Ni/HfO2/Si RRAM devices.
Metals 11 01350 g005
Figure 6. (a) I–V curves of two Ni/HfO2/Si RRAM samples. Endurance cycles of (b) O3 sample and (c) H2O sample. (d) Cumulative probability two samples in LRS (low-resistance state) and HRS (high-resistance state). (e) Retention test for two samples.
Figure 6. (a) I–V curves of two Ni/HfO2/Si RRAM samples. Endurance cycles of (b) O3 sample and (c) H2O sample. (d) Cumulative probability two samples in LRS (low-resistance state) and HRS (high-resistance state). (e) Retention test for two samples.
Metals 11 01350 g006
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Ryu, H.; Kim, S. Effects of Oxygen Precursor on Resistive Switching Properties of CMOS Compatible HfO2-Based RRAM. Metals 2021, 11, 1350. https://doi.org/10.3390/met11091350

AMA Style

Ryu H, Kim S. Effects of Oxygen Precursor on Resistive Switching Properties of CMOS Compatible HfO2-Based RRAM. Metals. 2021; 11(9):1350. https://doi.org/10.3390/met11091350

Chicago/Turabian Style

Ryu, Hojeong, and Sungjun Kim. 2021. "Effects of Oxygen Precursor on Resistive Switching Properties of CMOS Compatible HfO2-Based RRAM" Metals 11, no. 9: 1350. https://doi.org/10.3390/met11091350

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop