Next Article in Journal
The Effect of the Controlled Release of Platelet Lysate from PVA Nanomats on Keratinocytes, Endothelial Cells and Fibroblasts
Next Article in Special Issue
Direct Measurement of Sedimentation Coefficient Distributions in Multimodal Nanoparticle Mixtures
Previous Article in Journal
Effect of Toluene Addition in an Electric Arc on Morphology, Surface Modification, and Oxidation Behavior of Carbon Nanohorns and Their Sedimentation in Water
Previous Article in Special Issue
Resist-Free Directed Self-Assembly Chemo-Epitaxy Approach for Line/Space Patterning
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Recent Advances in Sequential Infiltration Synthesis (SIS) of Block Copolymers (BCPs)

1
Advanced Materials and Life Sciences, Istituto Nazionale di Ricerca Metrologica (INRiM), Strada delle Cacce 91, 10135 Turin, Italy
2
Dipartimento di Scienza Applicata e Tecnologia, Politecnico di Torino, C.so Duca degli Abruzzi 24, 10129 Turin, Italy
*
Authors to whom correspondence should be addressed.
Nanomaterials 2021, 11(4), 994; https://doi.org/10.3390/nano11040994
Submission received: 8 March 2021 / Revised: 1 April 2021 / Accepted: 4 April 2021 / Published: 13 April 2021
(This article belongs to the Special Issue Nanoscale Self-Assembly: Nanopatterning and Metrology)

Abstract

:
In the continuous downscaling of device features, the microelectronics industry is facing the intrinsic limits of conventional lithographic techniques. The development of new synthetic approaches for large-scale nanopatterned materials with enhanced performances is therefore required in the pursuit of the fabrication of next-generation devices. Self-assembled materials as block copolymers (BCPs) provide great control on the definition of nanopatterns, promising to be ideal candidates as templates for the selective incorporation of a variety of inorganic materials when combined with sequential infiltration synthesis (SIS). In this review, we report the latest advances in nanostructured inorganic materials synthesized by infiltration of self-assembled BCPs. We report a comprehensive description of the chemical and physical characterization techniques used for in situ studies of the process mechanism and ex situ measurements of the resulting properties of infiltrated polymers. Finally, emerging optical and electrical properties of such materials are discussed.

1. Introduction

The seek for novel materials with tailored properties has been of great interest among the scientific community over the last decades. The ability to fabricate nanostructured inorganic materials with high degree of control on morphology and dimensions, led to advanced materials with boosted performances in different research fields, such as nanolithography [1,2,3,4], photonics [5], biomedicine [6,7] and energy [8,9]. The realization of wide-area periodic nanopatterns is currently the subject of many efforts by the microelectronics industry, pushing the development of next-generation electronic and optical devices. At the moment, conventional lithographic techniques (i.e., optical and electron lithographies) represent the workhorse of micro and nanoscale manufacturing. Over the last years, their technological improvements determined significant advances, approaching the fundamental requirements demanded by the continuous downscale of device features. However, conventional lithographic techniques are now facing their intrinsic technological and economic limits [10] in terms of large-scale pattern definition and material deposition.
Among alternative nanopatterning methods, self-assembled materials such as block copolymers (BCPs) demonstrated to be very valuable in the pursuit of the shrinkage of electronic and optical devices, offering large scale scalability and a ready integration in the manufacturing processes [10,11]. The self-assembly of BCPs, in particular, represents a cost-effective bottom–up approach with high throughput, able to provide highly dense periodic patterns at the nanoscale in the typical range of 10–100 nm. Compared to optical and electron lithography, the self-assembly of BCPs relies on the in-parallel self-registration of amphiphilic BCPs, driven by the chemical incompatibility between the constituent blocks. A high degree of control on self-assembled nanostructures, in terms of orientation [12,13], long-range ordering [14,15,16], morphology [17] and feature size [18,19] is related to the ability to finely tune the substrate functionalization, annealing conditions and the characteristic parameters of BCPs (i.e., molecular weight and composition). The potential use of BCPs for several semiconductor industry technologies was recently assessed by Liu et al. [10]. By a direct comparison of directed self-assembly (DSA) of BCPs with conventional multi-step patterning approaches, such as self-aligned double/quadruple patterning (SADP/SAQP); the authors demonstrated the feasibility of applying BCP nanopatterning in the fabrication of 7 nm node fin field-effect transistors (FinFETs) in high-volume manufacturing testing. In addition, the pattern quality of fabricated patterns, in terms of critical dimension and pitch uniformity, was reported to be sufficient for integrated circuit layer manufacturing. The overall lower processing cost and high scalability provided by self-assembly of BCPs could also pave the way for the fabrication of self-assembled crossbar arrays of memristive devices for the realization of next-generation computing architectures, as also underlined in the roadmap on emerging hardware and technology for machine learning [20]. The great flexibility provided by the BCPs offers the opportunity to employ them as a nanopatterning tool for the design and fabrication of a wide range of functional materials. In particular, when combined with emerging synthetic routes as sequential infiltration synthesis (SIS), BCPs represent ideal templates for the synthesis of hybrid organic/inorganic or all-inorganic nanostructured materials with potential applications spanning from nanoelectronics [21] to photonics [22] and optics [23]. The SIS process is a vapor-phase and solvent-free process based on atomic layer deposition (ALD), generally used for the inclusion of inorganic materials into polymer templates. SIS consists of the cyclic exposure of polymers to a vapor-phase metal–organic precursor and an oxidizing agent ( H 2 O , H 2 O 2 , O 3 ), which leads to the formation of organic/inorganic hybrid materials. When SIS is applied to self-assembled BCPs, the metal–organic precursors are selectively entrapped inside the polar homopolymer composing the BCPs. Subsequent removal of the polymeric species, obtained whether by polymer ashing [24] or plasma etching [25], reveals a nanostructured metal oxide whose morphology perfectly replicates that of the BCPs template [26], as schematized in Figure 1.
Although sharing the same equipment and metal–organic precursors, the processing parameters of SIS substantially differ from that of conventional ALD processes, widely used for the conformal deposition of inorganic thin films on solid substrates (Figure 2a). Indeed, in conventional ALD, the cyclic exposures to the metal–organic precursors are typically very short, at low partial pressure and aimed at saturating all the reactive sites on the substrate surface in a self-limiting fashion. By contrast, in SIS the goal is to dissolve, diffuse and entrap the precursors throughout the entire BCPs film thickness (Figure 2b), thus requiring higher exposure partial pressures and times [27,28,29]. The extensive research over the last years has referred to SIS with different terminologies i.e., vapor phase infiltration (VPI) [30], micro-dose infiltration synthesis (MDIS) [31] and multipulse vapor infiltration (MPI) [32]. Although each process indicates a different precursor dosing sequence, they all rely on the same fundamental phenomenology [30].
Here, we report recent advances and perspectives of the SIS process, with a specific focus on the synthesis of nanostructured materials by BCPs templates. Great attention is dedicated to the discussion of in situ and ex situ spectroscopic and microscopic characterization techniques adopted for an exhaustive comprehension of the process mechanism and morphological, compositional and structural characterization. Subsequently, in this review, we address the emerging optical and electrical properties of infiltrated materials with potential technological impact on the development of novel devices.

2. SIS Processing and Mechanism

The SIS of BCPs follows a Lewis acid–base interaction between the metal–organic precursors (Lewis acids) and functional groups of the polar domains (Lewis bases). Being polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) the prototypical BCPs, widely used as reference material for the study of the self-assembly process, a lot of effort has been dedicated to the understanding of the mechanism involved in the SIS [25,33,34]. Early studies on the synthesis of aluminum oxide ( AlO x ) obtained after the cyclic exposure of PS-b-PMMA to trimethylaluminum (TMA) and water, demonstrated that the TMA–PMMA interaction follows a two-step adsorption [35]. The first step consists in the formation of a Lewis adduct obtained by the reversible coordination of TMA to the carbonyl (C=O) of the ester groups of PMMA, then followed by a slow conversion into covalent Al–O bond [36]. Subsequent exposure to water determines the formation of O–Al–OH species, due to the oxidation of bonded TMA, that act as nucleation and growth sites for AlO x in the following SIS cycles [31]. The lack of polar functional groups in PS implies the absence of any interaction of the precursors with the aforementioned homopolymer. Consequently, PS acts as a diffusive channel for the transport of the precursors to the reactive sites of PMMA [33]. A similar behavior is also found in the statistical copolymer polystyrene-stat-poly(methyl methacrylate) (PS-stat-PMMA). However, the TMA diffusivity is affected as the MMA unit content in the polymer film varies, reaching a maximum value for MMA fraction of 0.56 [37]. The inert properties of PS towards metal–organic precursors has been recently exploited for the uniform coating of freestanding nanoparticles. By applying the SIS on resting nanoparticles on a PS layer, the precursors can diffuse through the underlying PS and reach the reactive sites on the bottom part of the nanoparticles. This allows the growth of the metal oxide on nanoparticles even on the side in contact with the substrate, otherwise not possible with standard ALD process [38].

2.1. Polymer Selectivity

The search for a comprehensive insight into the SIS mechanism has also been extended to polymers with amides and carboxylic acids functional groups, such as poly(vinylpyrrolidone) (PVP) and poly(acrylic acid) (PAA), respectively. While PVP shows similar reactivity to PMMA, forming a reversible Lewis adduct C = O · · · Al ( C H 3 ) 3 , in PAA the presence of an acidic proton determines the direct covalent Al–O bonding through a pericyclic reaction [39] (Figure 3).
Different polymers with carbonyl-containing functional groups, therefore, show substantial differences in the interaction dynamics with the metal–organic precursors. Biswas et al. [40] recently reported that, although sharing the same ester functional groups, poly( ϵ -caprolactone) (PCL) interacts more strongly with TMA and TiCl 4 compared to PMMA, showing nearly total saturation of the available C=O sites for both precursors. The higher reactivity of PCL is to be found in the polymer backbone positioning of the carbonyl groups that confers a higher nucleophilicity compared to the side chain C=O groups in PMMA, resulting in a stronger Lewis acid–base interaction with metal–organic precursors.
The increasing research on new polymers with oxygen-containing functional groups pushes forward the achievement of direct selective growth of different nanostructured metal oxides as ZnO , TiO x and VO x that otherwise would require pre-infiltration of AlO x [41,42,43]. As an example, Yi et al. [44] reported how cyclic ether groups of polystyrene-block-poly(epoxyisoprene) (PS-b-PIO) act as effective templates for the direct infiltration synthesis with TMA, diethylzinc (DEZ), titanium isopropoxide ( Ti ( OiPr ) 4 ) and vanadyl isopropoxide ( VO ( OiPr ) 3 ) thanks to a greater Lewis basicity of cyclic ether groups when compared to the ester group of PMMA.
Surprisingly, the same authors found a selective growth of ZnO and AlO x in polyisoprene domains of polystyrene-block-poly(1,4-isoprene) (PS-b-PI) BCPs even though lacking any polar ligand group, suggesting that the Lewis acid–base interaction alone is insufficient to fully describe the precursor entrapment. A first attempt of explanation on how alkene functional groups in PS-b-PI can play a role in entrapping metal–organic precursors was given by attributing it to the high permeability of PI to a given precursor. Lately, a more in-depth assessment of the mechanism involving SIS with DEZ in cis-polyisoprene, revealed that pre-heating treatments play a key role in increasing the load of metal–organic precursors by inducing chemical changes to cis-polyisoprene. Indeed, pre-heated films undergo partial oxidation, which introduces new C=O functional groups responsible for the increased metal–organic entrapment [45]. A list of relevant references focusing on SIS on different polymers and functional groups is presented in Table 1.
The extensive literature on SIS of nanostructured metals and metal oxides as AlO x [33], SiO x , TiO x [48], ZnO [31], W [25] and WO 3 x [42] proved self-assembled BCPs templates as a promising tool for nanopatterning applications, thus pushing the research to the development of SIS for new semiconducting materials such as In2O3, Ga2O3 [49] and SnO x [43]

2.2. Diffusion

When comparing the phenomena involved in SIS (i.e., sorption, diffusion and entrapment) to ALD, a higher complexity is determined by the larger number of experimental design parameters that need to be taken into account, namely: temperature, pressure, pre-treatments, precursor and oxidizing agent exposure times, purge time and polymer–precursor interaction [30]. The ability to perform deep infiltration of inorganic materials into polymers represents one of the fundamental aspects to expand the technological impact of SIS on a wide range of applications. The diffusion of inorganic precursors into polymeric templates, although being of critical importance, suffers from limitations in terms of depth of penetration that affect the inorganic material mass incorporation and pattern quality [43]. Different strategies have been recently developed in order to increase the effective diffusion of metal–organic precursors into polymer templates. Examples of infiltration of PS-b-P4VP (polystyrene-block-poly(4-vinylpyridine)) in polar swelling solvents (i.e., ethanol), show a more efficient infiltration thanks to the introduction of additional porosity channels [46]. The swelling-assisted SIS is a method based on the immersion of BCP films into a polar solvent prior to the infiltration. The incorporation of polar organic solvents into the polar domains of the BCP, upon subsequent drying, determines the formation of interconnected pores in the typical range of 10–50 nm. These pores act as effective pathways for the delivery of the metal–organic precursors throughout the BCP film thickness [50]. Thus, they enable the access of the metal–organic precursors to all the available sites. This results in a two-fold increase of the amount of synthesized AlO x , proving to be a valid approach also for the synthesis of porous multicomponent heterostructures [47]. Higher amounts of precursor molecules available for a more efficient diffusion into the polymer, can be delivered by modifying the conventional SIS process parameters. MDIS is a modified infiltration synthesis protocol which consists in repeating the precursor dosing multiple times while still maintaining static vacuum. The higher cumulative duration of precursor exposure in MDIS, when compared to conventional SIS protocol, determines a higher concentration of precursor molecules in the chamber. This translates into a higher number of molecules available to diffuse into the polymer, allowing the growth of a superior amount of material and a more uniform block-selective infiltration [31].
The control over precursors diffusion can also be exploited to expand the library of new multicomponent materials that can be synthesized with SIS. As recently reported by Azoulay et al., by designing the diffusive time of TMA and DEZ into cylinder-forming PS-b-PMMA, they were able to simultaneously grow different metal oxides at designated locations. Short TMA exposure times determined a shallow infiltration of the PMMA cylinder domains, whereas longer exposures of DEZ allowed a deeper diffusion into the entire film depth leading to the synthesis of an inorganic nanorod array of AlO x ZnO heterostructures [51]. The full comprehension of the synthetic process requires also to consider the polymer–precursor interaction and its relation to the temperature, since their significant influence on the precursor effective diffusion. A clear insight into the role of temperature on the SIS was given by Weisbord et al. in a recent publication [52]. In a temperature-dependent model, the authors predicted the existence of a balance point temperature of thermodynamic equilibrium ( Δ G = 0 ) for each polymer–precursor pair. At the balance point temperature, the forward and reverse polymer–precursor interactions satisfy the thermodynamic conditions for maximum mass gain (Figure 4a,b).
The Lewis basicity of each polymer strongly influences the balance point temperature. For strong Lewis base polymers such as poly(2-vinylpyridine) (P2VP), high temperatures (≈ 210   C ) are desired for maximum mass gain. However, at these temperatures self-assembled BCPs such as PS-b-P2VP cannot maintain their pattern and consequently undergo morphology rearrangement that prevents the pattern quality of the infiltrated material. To overcome this issue, a multi-temperature SIS process was proposed. By the combination of a first low-temperature ( 80 C ) SIS cycle followed by four SIS cycles at a higher temperature ( 150 C ) the authors were able to obtain a higher mass gain for PS-b-P2VP when compared to single-temperature processes. Although being far from the thermodynamic conditions of maximum mass gain, the mass of AlO x accumulated in the first SIS cycle at ( 80 C ) prevents any BCP reconfiguration, preserving the vertically oriented cylinders pattern. Then, the subsequent high-temperature SIS cycles (150 °C) guarantee the highest mass growth (Figure 5).

3. Characterization Techniques

The development of the SIS process in terms of fabrication has progressed rapidly in the latest years, implementing a wide choice of materials for precursors and polymers and a large set of varying parameters regulating the infiltration process. However, the complete comprehension of the process mechanism and the exhaustive characterization of the materials’ properties have not yet followed through the expanding fabrication capabilities. Recent developments of lithographic, optical, mechanical and electrical applications of the SIS process require extensive characterization of the materials’ properties. A large set of physical and chemical methods has been applied so far with the aim to characterize the infiltrated polymeric nanostructures. The interest of the SIS community has been pointed at both the chemistry of reactions involved among the gaseous precursors and the polymer and the reconstruction of the morphology of the oxides nanostructures from a compositional and dimensional point of view. In situ characterization techniques have been used to unravel the phenomenology of the infiltration process inside the ALD chamber, while ex situ methods have been dedicated to the characterization of the results of the process at the end of different number of ALD cycles conducted under the same conditions. Given the wide variety of precursors and polymeric species used in literature and different process parameters, specific results of the characterization vary from study to study. Hereafter, we discuss how the different characterization techniques have been adopted for the study of SIS and we highlight the major achievements in understanding the process.

3.1. Phenomenology of the Infiltration Process

In the latest years, several in situ methodologies has been used and adapted inside the infiltration process chamber to gain direct access to the steps of the precursors infiltration in the polymeric matrix, i.e., the sorption of the gas-phase precursor, the diffusion and the entrapment inside the polymer [53].
Fourier-transform infrared spectroscopy (FTIR) is a well-known spectroscopic method based on the monitoring of adsorption peaks at different vibration frequencies in the mid-infrared range, constituting a fingerprint spectrum and corresponding to the chemical interactions among the reactants involved in a process. Integrated into the ALD chamber, FTIR is used for the temporal evolution analysis of the reactions between the organometallic precursor and the polymer functional groups at different stages of the ALD process. Transmission and reflection FTIR allow identifying the relevant moieties and the specific bonds that are formed (positive peaks) or consumed (negative peaks) or shifted in the phases of the infiltration process when changing the reaction parameters [35]. The spectral features are subtracted by a reference spectrum, acquired on a pristine substrate [27].
A notable example of the information retrieved from such spectral analysis is found in references [35,36], where some early results on in situ transmission FTIR measurements on PMMA thin films infiltrated with TMA were presented. The authors hypothesized and verified that the TMA reaction with PMMA occurs in a two-step process. The TMA is quickly absorbed by carbonyl C=O and ester C–O–R moieties in PMMA, forming a weakly-bound intermediate complex that is then slowly converted into a covalent bond, generating Al–O [35]. The analysis of temperature, thickness and time-dependence of the adsorption gave a deeper understanding of the process kinetics. The FTIR study highlighted that the adsorption of TMA into the PMMA film is a diffusion-limited process requiring long exposures to reach saturation with a quadratic functional dependence to time. The same time-dependence was observed in the desorption of TMA during purge time with desorption 10 times longer than adsorption [36].
Recently, another work on in situ FTIR measurements extended the analysis to different combinations of precursors (i.e., TMA and TiCL 4 ) and polymers (i.e., PMMA, P2VP and PCL) to monitor the spectral changes of the reactive functional groups and kinetics of the adsorption and desorption processes [40]. Figure 6a,b report the absorption spectra of PCL acquired at the first and second SIS cycle at the two precursors’ exposure steps. Spectrum 3a.1 revealed a complete loss of C=O feature upon TMA interaction with the polymer, a blue-shift of C–O–R peak corresponding to a modification of the bond length and the formation of a AlCH 3 complex. Upon the water dose, spectrum 3a.2 the C–O–R shift and aluminum complex peak are reversed indicating a loss of the surface species and complexed C–O–R. The C=O negative peak is not reversed indicating a unique irreversible covalent bond with TMA. Similar but less pronounced features are visible in spectrum 3b.1 corresponding to the first dose of TiCL 4 in PCL. The spectrum presents C=O and C–O–R negative features, consistent with their consumption and a positive peak corresponding to the formation of a C–Cl complex. In this case, a non-covalent complex formation can be deduced from the spectrum 3b.2, where the reversed C=O peak suggests the partial release of these groups interacting with Ti–Cl species. For both graphs, the second SIS cycle is characterized by the same features, only with reduced intensities. The histogram in Figure 6c summarizes the FTIR results for the analyzed homopolymers reporting the percentage consumption of the reactive functional groups at different steps of the first SIS cycle for the two used precursors. This graph highlights the strong and stable reactivity of PCL to both TMA and TiCL 4 , allowing to identify PCL as a promising candidate for the infiltration process both as homopolymer and copolymer, matched with a non-reactive polymer such as PS.
Quartz crystal microbalance (QCM) gravimetry is quite often used in combination with in situ FTIR or alone to monitor the SIS process in situ [30,33,43,51,52,54]. It consists in employing a quartz crystal commonly used in deposition systems and modifying it with a thermally-equilibrated polymeric coating matching the polymer which is being infiltrated in the vacuum chamber of the ALD [54]. During the precursor adsorption and diffusion inside the polymer, the changes in the oscillation frequency of the quartz crystal are monitored and converted into the precursor mass uptake or loss of the polymer, through the knowledge of the material density and acoustic impedance. These features render QCM gravimetry a versatile technique, allowing to gain insights into the growth kinetics for every oxide in the SIS library [27,43,51] in both molecular layer deposition and etching processes [55].
The time-dependent measurements usually present an increase in the mass gain of the polymer during the exposure to the precursor, potentially reaching saturation with zero slope, followed by a mass loss in the purging step, when the unreacted reactants and byproducts are desorbed from the polymer. The slope of the mass gain in the different steps can provide information on the diffusivity of the precursors in the polymer. In Figure 7a, QCM gravimetric measurements are conducted on a PMMA thin film during the TiO 2 SIS process using TiCL 4 as precursor [33]. A large initial mass gain is displayed indicating a great diffusivity of the TiCL 4 precursor in the polymer, followed by a modest rate of mass uptake in the following steps. The slope of the desorption step provides information on the kinetics of the process. The steep mass loss during the exposure to H 2 O vapor precursor in the TiO 2 infiltration of PMMA suggests a fast kinetics between water and the TiCL 4 –PMMA complex and the release of different byproducts of such reaction [33]. Analogously, gravimetric measurements of the infiltration of two precursors, TMA for alumina and DEZ for zinc oxide growth, are reported in reference [51] for a self-assembled PS-b-PMMA film, revealing a much more abrupt and steep adsorption for TMA than for DEZ, thus indicating a faster diffusion for TMA. Gradual and long desorption of TMA from PMMA domains (not shown here) evidences a slow release of the organometallic precursor from the interaction with carbonyl groups in PMMA [27,35], as also highlighted with FTIR results.
The analysis of cycle-dependent net mass gain can be used to highlight differences in mass uptake under constant conditions. In the plot reported in Figure 7b for different polymers (PS, PMMA and PS-b-PMMA) a much smaller TiCL 4 uptake was observed in PS compared to PMMA and PS-b-PMMA layers at the first cycle of the SIS process, due to the selective reaction of the precursors with PMMA carbonyl groups [33]. At the seventh cycle, a steeper decrease of the mass gain is observed in PMMA rather than nanostructured PS-b-PMMA layer imputable to the formation of a saturated layer and cross-linked polymer inhibiting further diffusion in the PMMA layer. This analysis allowed to hypothesize that the presence of inert polystyrene in the surrounding of the PMMA nanodomains allows channeling the diffusion of TiCL 4 precursor to the PMMA available reactive sites.
Monitoring the results of a temperature-dependent QCM gravimetric analysis of the infiltration of TMA inside PMMA and P2VP homopolymers and BCPs films allowed the group of Segal-Peretz and coworkers to further shed light on the mechanism of the infiltration of TMA in reference [52]. The authors implemented a quantum-mechanical model to compute the changes in Gibbs free energy during the SIS growth and investigate the reversible bond formation for each precursor–polymer pair, predicting the specific temperature conditions at which the forward and reverse interaction occur at the same rate. Such thermal conditions promote the in-depth diffusivity of the TMA. Experimental verification through in situ monitoring of the mass gain in the predicted temperature range proved the validity of their model. The prediction and control of such important process parameters allowed the authors to grow alumina in P2VP self-assembled nanodomains, previously inaccessible, while preserving their morphology and maximizing the mass gain.
Spectroscopic ellipsometry (SE), commonly adopted in studying the dimensional and optical properties of thin films of various materials, consists of the measurement of the elliptical polarization state of a light beam reflected on single or stacked thin films, with the incident beam being linearly polarized. The incident and collection angle are set at the same value and the ellipsometry spectrum is modeled to determine up to two parameters at a time among refractive index, density, or thickness of the thin film. In the characterization of the SIS process, SE can be used to monitor the polymer modifications during the different steps of the infiltration process.
In reference [56], the authors reported time-dependent thickness and refractive index measurement for PMMA and PS film infiltrated with Al 2 O 3 . The SE measurements (not shown here) indicate a strong swelling of PMMA during the first TMA diffusion, followed by a decrease in the purging step consistent with the out-diffusion of the physisorbed precursor. The following thickness increase is ascribed to the water dose and the formation of covalently bound Al–O species, already demonstrated in reference [36]. After the final purging step in the first cycle, the thickness of the polymer has increased with respect to its pristine state. After each of the following cycles the thickness slightly increased. The refractive index shows no significant variation after 10 cycles, the authors explained this by considering that the loading of Al 2 O 3 , with higher n than PMMA, compensates for the density reduction due to swelling, leaving the refractive index substantially unaltered. The authors observed no significant variation of the PS thickness in the first cycle, but a slight increase after ten cycles, due to the absence of C=O reactive groups and to the cyclical loading and unloading of TMA in the film.

3.2. Characterization of the Infiltrated Materials’ Properties

After the infiltration is completed, ex situ characterization of the morphological and dimensional distribution of the oxide component inside the polymeric nanostructures is often carried with a plethora of methods, including several types of microscopic and spectroscopic techniques, gravimetry and mass spectrometry. Special attention is addressed at the diffusion of the gaseous precursors inside the polymer and in-depth distribution of the oxide growth.
Electron microscopy family includes several imaging techniques which use a high-energy electron beam to probe the surface or cross-section of a specimen. These include scanning electron microscopy (SEM), scanning transmission electron microscopy (STEM) and conventional transmission electron microscopy (TEM). These are by far the most commonly utilized techniques for the dimensional characterization of nanomaterials, requiring simple calibration of the magnification using calibration samples with features in the same dimensional range as the analyzed ones [57]. Electron microscopy has been widely reported for the morphological characterization of block copolymers nanopatterns or polymeric films treated with SIS of inorganic compounds [31,35,51,56,58,59,60,61]. Electron microscopy is often complemented by energy-dispersive X-ray (EDX) spectroscopy. It is based on the detection of characteristic X-rays produced from the interaction of high-energy electrons with the specimen atoms, allowing the univocal analysis of the elemental composition. This technique has been used for both in-plane and in-depth chemical characterization of the infiltrated polymer [31,43,51,59,61,62,63].
SEM enables the imaging of the topography of inorganic nanodomains in the BCPs template, through the collection of secondary electrons produced by scanning a focused beam of electrons on the surface. Detecting backscattered electrons adds information on the contrast among features with different elemental composition (Z-contrast) seen in the topographical image. This technique is broadly utilized since it does not require any peculiar preparation, except metallization on insulating specimens, and its interpretation is very straightforward.
TEM requires the transmission of the electron beam through the sample to form a high-resolution image. This technique requires quite long and destructive preparation to thin the sample below 100 nm, down to 5–20 nm, at which it is transparent to the incident electron beam and to mount it on a specific TEM grid. A common method to obtain a cross-sectional view of the sample is to cut lamellae using focused ion-beam (FIB) precision milling, while top-view TEM images can be obtained by detaching a thin layer of the specimen from the substrate. Figure 8a–d report TEM images of a thin BCPs template, constituted of a PMMA matrix embedding PS cylinders. The BCPs nanopattern was treated with 3 cycles (Figure 8a,b) or 10 cycles (Figure 8c,d) of SIS to infiltrate In 2 O 3 , with trimethylindium (TMIn) and water as vapor precursors, and then annealed to remove the polymeric component leaving its inorganic replica [64]. The indium oxide is infiltrated preferentially in the PMMA matrix as revealed by the mesoporous structures in the figures. TEM enabled the measurement of the average size of the indium oxide nanocrystals up to ( 5.8 ± 0.9 ) nm after 3 cycles and up to ( 11.8 ± 1.4 ) nm after 10 cycles with reduction of the pore diameter. Moreover, comparing the TEM images of as-grown inorganic layers (images not shown) and after the annealing allowed the authors to investigate the structural modification of the inorganic template from amorphous InO x H y to In 2 O 3 with cubic crystalline phase, identified by measuring the lattice spacing. TEM is usually coupled with EDX for compositional analysis and fast Fourier transform (FFT) for structural analysis on the nanocrystals [31].
STEM is a variation of conventional TEM in which a focused electron beam is raster scanned across the sample, previously thinned to allow transmission. Several detection modes are available giving STEM great versatility. On-axis detection of transmitted electrons yields bright-field intensity imaging, while the detection of fore scattered electrons complements it with annular dark-field (ADF) imaging, or high-angle annular dark-field (HAADF) imaging, giving Z-contrast information. Reference [51] reports the realization of heterostructure nanorod array through the simultaneous and spatially-controlled growth of Al 2 O 3 and ZnO with a single SIS process in a BCPs film of PMMA cylinders in a PS matrix. HAADF STEM micrographs of the heterostructures acquired at different tilting angles are presented by the authors, showing contrast variation along the nanorods’ length. EDX maps revealed the distribution of the target elements, Al and Zn, mainly at the top and bottom part of a nanostructure, respectively. In the same manuscript the authors also report a cross-sectional 3D reconstruction of the heterostructures, obtained by EDX-STEM tomography. Recently, HAAFD-STEM imaging was used to resolve the infiltrated ZnO at the junction of vertical and horizontal PLA in a three-dimensional structure of poly(1,1-dimethyl silacyclobutane-b-styrene-b-lactide) (PDMSB-b-PS-b-PLA) triblock terpolymer with PS and PLA blocks domains [61].
Atomic force microscopy (AFM) and, more generally, scanning probe microscopy (SPM) are microscopic methods for the topographic characterization of films and nanopatterned materials. The use of a scanning probe allows mapping the surface of the specimen with lateral and vertical resolution in the nanometer range. The characterization of polymers treated with SIS has been dedicated to monitoring the morphological evolution before and after the infiltration at different cycles, mostly on resist films treated for increased etch resistance in lithographic processes [65,66]. These measurements usually highlight an increase of the lateral size of the nanostructure, with consecutive reduction of their pitch, up to their complete merging, and rounded edges with increased number of cycles. Morphological analysis on self-assembled PMMA cylindrical nanodomains revealed swelling of the polymer and 25% increase in their lateral size after 5 SIS cycles, as reported in reference [67], consistently with SE observation in reference [56]. Additionally, compositional information may be retrieved from phase signal in tapping mode AFM measurements and nanomechanical properties may be investigated through force-distance measurements. Reference [67] reports PeakForce tapping mode for quantitative nanomechanical mapping (QNM) on SIS-treated homopolymers and self-assembled block copolymers. Young’s modulus was monitored on the PMMA homopolymer layer and cylindrical nanodomains revealing an increased value after 5 and 11 SIS cycles, respectively, consistent with the incorporation of Al 2 O 3 inside the polymer and increased stiffness. The results are reported in Figure 9a. Force-distance measurements on PMMA exhibited a decrease in the adhesion after infiltration with respect to the pristine polymer, as shown in Figure 9b. The same measurements on PS revealed no change in the stiffness or adhesion forces of the polymer.
Time-of-flight secondary ions mass spectrometry (ToF-SIMS) is a destructive technique consisting in sputtering the material under study with a focused beam of primary ions. This generates secondary ions that pass through a time-of-flight mass spectrometer. When investigating polymeric samples, the use of bombarding ions clusters improves secondary ions yield and reduces damaging and molecular fragmentation [30]. The resulting composition, corresponding to different depths of the sputtering process and planar position of the rastering primary beam, is used to reconstruct the 3D cross section of the specimen, complementing the results from STEM and EDX spectroscopy. However, appropriate calibration standards are required for quantitative depth-profiling [68]. ToF-SIMS has been used to understand the depth distribution of oxides after SIS treatment, usually adopted in homopolymer layers such as PMMA [56,63] and PS [56], PET film and fibers [54], but also in block copolymers layers such as PS-b-P2VP both as micellar films [58] and self-assembled nanodomains infiltrated with SnO x [43].
Thermogravimetric analysis (TGA), similarly to QCM gravimetry, yields information on the mass of infiltrated oxide in an ex situ process consisting in heating up the hybrid material and monitoring the weight change due to the loss of the polymeric volatile component. In reference [69], this technique confirmed the incorporation of alumina in polyethersulfone (PES) membrane with intact nanostructuration enabling the growth of laser-induced graphene (LIG). Among the techniques already mentioned for in situ phenomenological studies, FTIR and SE are also used in ex situ characterization. Attenuated total reflectance (ATR) FTIR, a variation of FTIR in reflection mode, has been reported in several works [62,69], including grazing incidence configuration [65], as a useful analytical method for cycle-dependent chemical characterization of the infiltrated polymer properties. Spectroscopic ellipsometry is often used in ex situ studies to measure the thickness variation of the polymer during the main processing steps (i.e., prior to SIS, after SIS, and after the polymer ashing) [62]. It can also provide information on the modified refractive index of the hybrid materials thus supporting application in optics and optoelectronics and related fields.
The characterization of the hybrid materials’ properties after the SIS process is supported by several methods described so far, dedicated to chemical, morphological, mechanical, structural and optical analysis. Some of the most common techniques, such as STEM and EDX and ToF-SIMS analysis, present time-consuming preparation or destructive operations, compromising the functionality of the investigated materials. Another category of analytical methods, not yet mentioned in this review, is constituted by X-ray techniques allowing non-destructive versatile multidimensional investigation at high-resolution in both laboratory settings and synchrotron facilities. Structural properties can be characterized through X-ray diffraction (XRD), where the peaks’ intensity and position in the diffraction pattern identify the atomic arrangement univocally yielding information on phase, crystallographic orientation, crystallinity, grain size, strain and defects. Local chemical and electronic structure around selected atomic species in a material can be retrieved through element-specific measurements of the first and second shell coordination distances by X-ray absorption spectroscopy (XAS) inner-shell methods. These rely on brilliant X-ray beams to probe the material with energies near the target element’s adsorption edge or far above it for near-edge X-ray absorption fine structure (NEXAFS), also known as XANES, or extended X-ray absorption fine structure (EXAFS), respectively. Finally, morphological properties at the nanoscale can be studied through X-ray scattering (XRS) methods that display scattered photon intensities as a function of the momentum transfer Q ( 1 / Å ). Particularly, GISAXS, operated in grazing-incidence mode and analyzing small-angle scattering, is not new to the BCPs community and has been largely applied to study the nanoscale morphology of BCPs templates [70,71].
A notable multidimensional ex situ characterization using the former methods has been recently presented in reference [64] to study the atomic-scale structure and the possible mechanism of nucleation of TMIn precursor in PS-b-PMMA BCPs. Powder diffraction (PXRD) analysis of the crystalline structure of as-grown hybrid InO x H y /PMMA thin film, already shown in Figure 8a–d. The resulting XRD peaks exhibit high broadness indicating randomly distributed inorganic phase domains without long-range crystallographic order, compatible with an amorphous structure formed at low processing temperature (80 °C). Concurrently, EXAFS analysis was carried out on as-grown and annealed infiltrated PS-b-PMMA films showing a transition from InO x H y clusters to crystalline structures, whose local coordination environment after annealing was compatible with cubic In 2 O 3 and In ( OH ) 3 . In addition, high-energy X-ray scattering (HEXS) measurements have been paired with atomic pair distribution function analysis (PDF) and, in combination with EXAFS on annealed samples, confirmed the formation of an inorganic mesoporous film with sub-6 nm In 2 O 3 cubic nanocrystals. HEXS-PDF analysis allows to retrieve the size of the inorganic clusters at each SIS cycle as well as their possible atomic structures [64,72].
Another noteworthy X-ray analytical method is X-ray photoelectron spectroscopy (XPS), also known as electron spectroscopy for chemical analysis (ESCA), is a common technique for surface chemistry analysis, usually implemented with laboratory setup. An X-ray beam impinges on the sample surface and generates photoelectrons at different energies. The energy spectrum enables the identification of the surface composition, chemical and electronic state. The characterization of infiltrated polymers is usually carried out ex situ to determine the chemical state of the oxide growth or chemical modification of the infiltrated polymer [33,43,58,65,69,73]. In reference [43], the authors report XPS measurements on SnO x infiltrated in P2VP homopolymer films as shown in Figure 10a,b. XPS enables the identification of Sn 3 d 5 / 2 and Sn 3 d 3 / 2 transitions visible in the spectrum, indicating that both tin oxides with Sn(IV) and Sn(II) oxidation states can be grown in the polymer layer. In reference [69], XPS was adopted as evidence of the incorporation of alumina inside PES membranes through the identification of Al 2p intense peak after the SIS process. Other works presenting X-ray-based characterization of the BCPs properties include reference [74] where GISAXS has been implemented to characterize the time-dependent morphological evolution of the BCPs matrix during the SIS process and reference [73] combining XPS with GISAXS and X-ray reflectivity (XRR) to study surface active polymer additives in BCP formulations.
With respect to other methods, such as FTIR, QCM or TEM analysis, characterization through the previous and other X-ray methods has not yet reached a widespread diffusion in the SIS community despite these could provide a better understanding of the process–structure correlation. The encouraging straightforward and non-destructive acquisition is still associated with some challenges with regards to separating the organic and inorganic contributions of SIS complex and hybrid structures in X-ray scattering, reflectivity and spectroscopic signals [27,64,72].

4. Control of the Materials’ Functional Properties by SIS

4.1. Optical Properties

The capability to selectively include metal oxide species inside self-assembled polymeric materials opened several opportunities in technological fields requiring the manipulation of light. A clear example is the realization of anti-reflective coatings (ARC) covering flat-panel displays of electronic devices, solar cells, curved optical elements or light-emitting diodes. To this goal, materials with refractive indices below 1.2 are required. To date, the literature describes two distinct approaches useful for the realization of BCPs-based ARC. The first approach relies on the increase of the absorption coefficient of incident light, occurring as a consequence of multiple reflections and scattering inside free-standing silicon nanopillars (SiNPs). In this context, the inclusion of metal oxides in ultrahigh molecular weight BCPs and the use of conventional reactive ion etching (RIE) processes allowed the formation of SiNPs with omnidirectional broadband anti-reflective capability (R < 0.16% in a wavelength range between 400 and 900 nm at an angle of incidence of 30°) [75]. A similar approach has been developed to obtain freestanding n-ZnO/p-Si nanotubes with low reflectivity in the UV-to-green light wavelength range (Figure 11a) [76]. The main drawback related to the use of SiNPs or nanotubes is the reduction of the transparency of the ARC film, strongly limiting the range of applications.
To extend the use of BCPs-based ARC to transparent substrates, the anti-reflective capabilities of an ARC can be tuned by adjusting its refractive index ( n ARC ) and thickness ( h ARC ), in such a way to induce destructive interference in the light reflected by the air/ARC and ARC/substrate interfaces. According to the Fresnel equations, for a given wavelength λ 0 and at a given angle of incidence, the best ARC conditions are accomplished for n ARC = n sub · n air (being n sub and n air the refractive of the substrate and air respectively) and h ARC λ 0 / 4 , in the so-called “quarter-wave coatings”. Following this approach, Guldin and coworkers [77] realized one of the first examples of BCPs-based ARC, exploiting a combination of silica-based sol-gel chemistry and preformed TiO 2 nanocrystals, selectively embedded inside poly(1,4-isoprene)-block-poly(ethylene oxide) (PI-b-PEO) micelles (Figure 11b). This type of composite materials combine the possibility of obtaining very low refractive index values (i.e., n ARC = 1.13 at λ 0 = 632 nm) with self-cleaning properties. In fact, TiO 2 -based photocatalysis can be used to degrade the hydrocarbons adsorbed on the ARC and restore its pristine anti-reflective properties.
In 2017 Berman et al. [78] proposed a novel method, the solvent-assisted SIS, as an efficient approach to create conformal coatings with very low n ARC (Figure 11c) over a broad spectral range. With this method, the refractive index of inorganic coatings can be finely tailored by tuning the geometric parameters of the BCPs template (i.e., film thickens, swelling ratio, porosity, feature size and periodicity) as well as the deposition parameters (i.e., type of infiltrated material, number of cycles). As a result, the authors demonstrated that the refractive index of Al 2 O 3 was lowered from 1.76 down to 1.10.
Beside the optical behavior linked to the change in refractive index, the nanostructured materials obtained by BCPs self-assembly and SIS exhibit interesting photoemission properties. Particular attention was paid to the electro- and photo-luminescence of nanostructures based on ZnO, a biocompatible and non-toxic material [79] with a wide range of potential applications in photonics [48,80], solid-state devices [81], gas sensors [82], water treatment [83] and biosensors [84].
The SIS process of zinc oxide is particularly complex, since the direct infiltration of diethylzinc (DEZ) precursor inside the polymer matrix often results in the formation of sparse ZnO nanoparticles [44,85]. For this reason a seeding treatment with a more reactive metal oxide (e.g., Al 2 O 3 ) is often required. Ocola et al. demonstrated that the seeding treatment and the polymeric matrix strongly influence the emissive properties of the ZnO nanostructures [41]. Figure 12a,d show the variation of PL spectrum at the earlier stages of the SIS (i.e., Al 2 O 3 seeding, first half cycle of DEZ, second half cycle of H 2 O and second half cycle of DEZ). Dimer Zn atoms (O–Zn–O–Zn and O–Zn–O–Zn–O) provide strong UV and VIS photoluminescence emission, 20 times greater than that obtained from the mono Zn atoms (O–Zn and O–Zn–O). For an increasing number of SIS cycles the authors observed the formation of crystals and consequent suppression of the VIS component of the PL emission (Figure 12e). It is worth noting that in the infiltration process of ZnO inside the PMMA matrix, the polymer does not constitute a passive host matrix for the DEZ precursor, but actively contributes to the PL of the nanostructures. Evidence of energy transfer between the PMMA and ZnO were demonstrated, while micro- and nano-patterning of the PMMA allows the manipulation of the PL spectrum of the infiltrated ZnO [86]. The large variation of the luminescence spectrum of ZnO, as a function of the deposition parameters, type and shape of the host matrix, represents a strong limiting factor to its diffusion in photonic applications. In this context, the infiltration of ZnO inside self-assembled BCPs matrices represent a viable way to obtain well-defined and periodic arrays of nanoparticles or nanowires (NWs) with improved photoemission capabilities in terms of spectral shape and intensity. In particular localized defects in ZnO nanoparticles, randomly disposed by drop casting on a pre-patterned substrate, have been reported to be efficient electrically driven single photon sources, working at room temperature [87]. The deterministic positioning and reduction of the dispersion in size of ZnO nanoparticles, achieved by combining SIS and BCPs, allows for the integration in electro-optic devices, such as electrically driven optical resonators.

4.2. Electrical Properties

The ability to control the level of doping of inorganic semiconductor materials has always driven the development of electronics. The same concept holds for the development of organic electronics where tailoring the doping level of organic functional materials is a prerequisite to control their electrical properties. With the growing interest in organic materials for printed and flexible electronics, light-emitting diodes (OLEDs), thin-film transistors, photovoltaic cells and batteries [88,89,90,91,92,93], several techniques based on the insertion of inorganic materials into polymers has been developed for the fabrication hybrid organic–inorganic materials with tailored electrical properties. Many of these processes alter polymer conductivity by doping with inorganic protonic acids, organic acids, Lewis acids, alkali metal salts or transition metal salts. These processes usually rely on wet chemistry with inherent limitations related to the solubility, temperature and can affect the polymer morphology, structure and purity [94,95,96]. In this scenario, SIS represents a solvent-free viable alternative to control electrical characteristics of polymers since infiltrated organometallic precursors lead to chemical reactions in the polymer to form hybrid materials with modified electrical properties. In 2015, Yu et al. [97] demonstrated that SIS represents a versatile doping strategy for engineering electrical properties of several functional polymers including polydimethylsiloxane (PDMS), polyimide (Kapton) and PMMA. The electrical properties of these polymers were tuned by infiltrating AlO x molecules by SIS with TMA as a precursor. In the case of PDMS and Kapton, that always presents a negatively charged surface when contacted to other materials, it was observed that the AlO x doping can significantly reduce the electron affinity of polymers due to the strong tendency of AlO x molecules of repulsing electrons. Instead, if the host polymer possesses a strong tendency to repulse electrons as the AlO x doping, as the case of PMMA, the effect of AlO x doping is to enhance the positive charge density. By exploiting the different electron affinities of undoped and doped polymers, authors demonstrated the realization of triboelectric nanogenerators (TENGs) to convert mechanical energy into electricity. It is important to remark that, in this case, SIS was exploited as a technique for tuning bulk electrical properties since the diffusion of TMA was observed to be of ≈ 3   μ m .
Among organic semiconductors, polyaniline (PANI) with its highly conjugated π delocalized molecular backbone is one of the most prominent conductive polymers finding applications in energy storage/conversion, supercapacitors, rechargeable batteries, fuel cells and water hydrolysis [98]. For all these applications, controlling the conductivity of PANI plays a crucial role. Besides depending on different oxidation states of the polymer (fully reduced leucoemeraldine, half oxidized emeraldine base and fully oxidized pernigraniline states) [98], the PANI conductivity can be modified through SIS doping. In 2017, Wang et al. [99] reported doping of PANI with metal chlorides by considering MoCl 5 and SnCl 4 precursors. In particular, it was observed that the conductivity of the infiltrated polymer (measured by means of four-probe techniques to avoid the effect of contact resistances) is correlated to the number of infiltration cycles and can be enhanced by up to six orders of magnitude. In the case of PANI infiltrated with MoCl 5 , the highest conductivity of 2.93 × 10 4 S cm 1 was observed after 100 infiltration cycles while in the case of PANI infiltrated with SnCl 4 the highest conductivity of 1.03 × 10 5 S cm 1 was observed after 60 infiltration cycles (as a reference, untreated PANI shows conductivity 1 × 10 10 S cm 1 ). Despite the conductivity of traditional HCl-doped PANI outperforms these results (doping with 1 M HCl results in conductivity of 8.23 × 10 2 S cm 1 ), it was observed that metal chloride doped samples exhibited chemical stabilization, due to a much lower impact of the thermal treatments in vacuum on the doped polymer conductivity. In this case, the effect of doping was ascribed to the oxidation of the PANI and complexation of metal chlorides with the PANI nitrogen, with consequent enhancement of the electron mobility along the polymer chain.
A strong improvement of conductivity was reported also in the case of PANI infiltrated by ZnO using DEZ as a precursor, where mutual doping in between inorganic species and polymer constituents was achieved [96]. Indeed, in this case, the process was responsible for a reinforcement of the binding of ZnO to nitrogen of the polymer chain backbone inducing a Lewis-acid type of doping and, at the same time, for doping ZnO with nitrogen forming an interpenetrated network. As can be observed from Figure 13a, the number of infiltration cycles can be tuned to alter the PANI conductivity. In all cases, the conductivity is higher than the HCl-doped PANI. Also, since the exposure time is correlated with the infiltration depth, better conductivity performances were observed in the case of extended exposure times. Figure 13b reports conductivities of PANI doped with different infiltration parameters calculated from slopes of I–V characteristics. A maximum conductivity of 18.42 S cm 1 was observed in the case of 600 infiltration cycles and 120 s of exposure time. It is worth noticing that the conductivity of the hybrid PANI/ZnO is a result of a synergy in between the involved materials since the conductivity is beyond the additive contribution of individual components. Indeed, lower conductivities were observed in the case of ALD-deposited ZnO films (refer to the conductivity represented by the green box of Figure 13b, where PANI was coated with an Al 2 O 3 infiltration barrier before coating with an ALD-deposited ZnO). Similarly, W. Wang et al. [100] reported a VPI process to dope poly(3-hexyl)thiophene (P3HT) by means of the MoCl 5 precursor. In this case, the incorporation of Mo into the bulk polymer resulted in an increase of conductivity up to five order of magnitudes (a maximum of 3.01 S cm 1 was observed in the case of 100 infiltration cycles). In this case, changes in electrical conductivities are ascribed to a p-type doping related to the formation of a Lewis acid–base adduct formation between P3HT and MoCl 5 , where P3HT acts as a Lewis base in conjunction with MoCl 5 . In this framework, SIS results to be a promising strategy for solvent-free doping of polymers, making possible a top-down strategy to tune the electrical characteristics of pre-manufactured organic materials that can be implemented in roll-to-roll production lines for more efficient device fabrication of organic electronic devices. As a perspective, by properly selecting proper doping precursors and by controlling the infiltration conditions, the SIS strategy can be further explored for engineering electrical properties of a wide range of electrically conductive organic materials, where electrical characterization can be combined with UV-Vis, Raman, FTIR, XPS and XRD characterizations to understand chemical/structural changes of the polymer leading to a modification of its conduction properties.
Infiltrated polymers can be exploited also for the realization of transparent and multifunctional sensors, as reported by Ocola et al. [101]. In particular, in their work it is reported that the SU-8 (usually employed as negative resist for lithographic purposes) infiltrated with ZnO can be exploited for the realization of highly sensitive UV sensors. However, a detailed understanding of the sensing mechanism relying on volume interactions of UV light with infiltrated polymers still needs further investigation. Also, SIS was demonstrated as a versatile technique for the realization of electrochemically stable conductive membranes. In their work, Bergsman et al. [69] reported that a SIS-based process enables the realization of conductive LIG coatings on porous polymer substrates. Indeed, the infiltration of PES membranes with alumina by using the TMA precursor is responsible for stabilization against deformation above the glass transition temperature of the polymer. This enables direct lasing of these polymeric membranes to form an LIG coating without affecting the membrane pore structure, allowing the realization of permeable conductive membranes (Figure 14a). Also, these membranes were observed to be electrochemically stable. The sheet resistance of SIS-treated LIG membranes evaluated by the Van der Pauw method was observed to be dependent on the laser power (Figure 14b) achieving the value of ( 37.7 ± 0.7 ) Ω 1 , a value that is comparable to the sheet resistance of carbon-nanotube (CNT) composite materials. Note that without SIS treatment lased membranes exhibited an order of magnitude higher sheet resistance.
The SIS technique was reported also as a versatile technique to grow semiconductive oxide thin films, as reported by Waldman et al. [49] that have synthesized In 2 O 3 as a transparent conductive metal oxide. In their work, a process for growing In 2 O 3 by using TMIn as a precursor and PMMA as substrate was established. After subsequent removal of PMMA and annealing at 400 °C, the remaining SIS-derived film exhibited typical electrical characteristics of undoped In 2 O 3 thin films, as revealed by Hall effect measurements. Besides thin films, Vapor-phase infiltration can be exploited also for the realization of nanostructures based on metal oxides for the realization of electronic devices. For this purpose, the polymeric matrix can be patterned before the infiltration process in order to control position and geometries of nanostructures. In this framework, electrical properties of ZnO wires realized by means of SIS were investigated by Nam et al. [102]. As schematized in Figure 15a, the realization of ZnO stripes was performed by patterning a SU-8 template, subsequently infiltrated by ZnO and then removed by oxygen plasma. The resulting ZnO nanowires with length of 5 μ m and width of about 50 nm present a nanocrystalline structure with grain sizes smaller than 5 nm. Subsequently, these nanostructures were contacted by means of source and drain contacts (Ti/Au) to realize an NW field effect transistor (NW-FET) device, exploiting the SiO 4 substrate as gate dielectric and Si as gate electrode (schematization in Figure 15b). Electrical characterization revealed that the ZnO NWs become semiconducting only after an annealing process at 500 °C for 10 min in hydrogen (4% H 2 with Ar balance) to increase carrier concentration. After that, the ZnO NW exhibited a n-type semiconducting behavior as can be observed from Figure 15c, where an increase of the gate voltage ( V G ) resulted in an increase of the device conductivity. Similarly, an intrinsic n-type doping was reported in a wide range of ZnO nanostructures. It is worth noticing that a similar unintentional n-type doping was reported in a wide range of ZnO nanostructures and was ascribed to the presence of intrinsic defects and/or impurities that act as shallow donors [103]. Assuming the cylinder-on-plate model and by considering the transfer characteristics reported in Figure 15d, the carrier concentration was estimated to be at least 2.5 × 10 19 cm 3 while the electron mobility was estimated to be about 0.07 cm 3 . It should be noticed that the here reported charge density results to be much larger than the charge density observed in the case of single-crystalline ZnO NWs grown with a bottom-up approach that was reported to be in the order of 10 17 10 18 cm 3 [104,105]. In order to achieve new insights into the electronic transport mechanism of ZnO NWs realized by means of SIS with a top-down approach and to compare results with single crystalline ZnO NWs realized with a bottom-up approach, temperature-dependent electrical characterizations are required.
Recently, it has also been demonstrated that SIS represents an inexpensive and scalable strategy for the realization of resistive switching memories (ReRAM) that is compatible with existing semiconductor nanofabrication methods and materials. Indeed, Chakrabatarti et al. [106] have shown that nanoporous AlO x grown by infiltration of PMMA acts as a dielectric layer for ReRAM cells characterized by a high on/off ratio (> 10 9 ), low switching voltages (about 600 mV), retention up to 10 4 s and pulsed endurance up to 1 million cycles. These characteristics make these cells promising for memory and neuromorphic applications.
Metal-oxide thin film nanoarchitectures can be also realized by combining SIS with self-assembled BCPs patterning exploited to generate nanomorphologies. By exploiting a MDIS protocol in hierarchical BCPs thin films, Subramanian et al. [31] reported the realization of three-dimensional ZnO nanomesh. Electrical conductivity across the multilayered nanomesh was observed to depend on the number of patterned layers. If a sufficient number of layers is realized, geometrical 3D charge percolation conduction is established across overlapping and orthogonal staking of nanowire fingerprint layers. For this reason, these systems represent percolative conduction networks where conductivity can be controlled by properly tuning geometrical parameters of the metal-oxide nanostructures. As a perspective, nanoarchitectures with tailored conductance properties can be realized by exploiting and combining different BCPs patterning strategies.

5. Conclusions and Perspectives

In recent years, a rapid expansion in SIS processing parameters has occurred [27]. Diverse vapor phase reactant combinations, pulses duration, purge duration, temperature and number of cycles have been tested on diverse polymers functional groups and block copolymers with varying Flory-Huggins parameter and molecular weight. The process kinetics and hybrid materials’ properties have been probed through several analytical methods so far, constituting both a challenge and a push for progress. However, developing more and more reliable characterization methods is required to increase our knowledge and control capability on SIS when moving in the expanding process space. The basic metrological requirements must be met proceeding towards absolute quantitative methods and interlaboratory comparability. A great deal of information on the chemical and structural properties of SIS-processed BCPs is to be found in complementary approaches using in situ and ex situ optical, vibrational and X-ray spectroscopic methods in combination with more straightforward information from electron and scanning probe microscopy methods. The interpretation of characterization results may be supported through theoretical modeling and simulations, with density functional theory (DFT) being a prominent candidate to investigate the mechanism of chemical reactions and predict suitable conditions and reactants [52,107]. In this scenario, advancements in SIS are related to the development of a high throughput metrology at the nanoscale.
The correct interpretation of the chemical/physical mechanisms and precise characterization of the infiltrated BCPs are fundamental characteristics for the realization of photonic structures and electronic devices with improved functionalities. A clear example is the fabrication of nanostructured materials with non-linear optical properties (e.g., ZnO nanostructures) [108] or metamaterials (e.g., metal/dielectric hyperbolic metamaterials) [109]. Furthermore, advances in BCPs patterning and SIS techniques can be exploited for the realization of either electrodes and/or active materials of next-generation electronic devices to overcome obstacles of device downscaling and system integration. As an example, BCPs in conjunction with SIS can offer an efficient way for fabricating crossbar arrays of memristive devices for the realization of next-generation computing architectures for neuromorphic-type of data processing, in accordance with the roadmap on emerging hardware and technology for machine learning [20].
Artificial intelligence (AI) and machine learning techniques, already giving increasing contribution to the field of physical chemistry [110], can support experimental and theoretical work on SIS process parameters control and characterization [111] in order to design functional materials with tailorable properties to be exploited in optical, mechanical and electrical applications through a “materials by design” approach.

Author Contributions

Resources, E.C., I.M., G.M., F.F.L.; writing—original draft preparation, E.C., I.M., G.M., F.F.L.; writing—review and editing, E.C., I.M., G.M., N.D.L., L.B., F.F.L.; funding acquisition, N.D.L., L.B. All authors have read and agreed to the published version of the manuscript.

Funding

The project 16ENV07 Aeromet has received funding from the EMPIR programme co-financed by the Participating States and from the European Union’s Horizon 2020 research and innovation programme. The project Volume Photography received funding by the 2016 grant “Progetti premiali” of the Italian Ministry of University and Research.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Suh, H.S.; Moni, P.; Xiong, S.; Ocola, L.E.; Zaluzec, N.J.; Gleason, K.K.; Nealey, P.F. Sub-10-nm patterning via directed self-assembly of block copolymer films with a vapour-phase deposited topcoat. Nat. Nanotechnol. 2017, 12, 575–581. [Google Scholar] [CrossRef] [PubMed]
  2. Cummins, C.; Pino, G.; Mantione, D.; Fleury, G. Engineering block copolymer materials for patterning ultra-low dimensions. Mol. Syst. Des. Eng. 2020, 5, 1642–1657. [Google Scholar] [CrossRef]
  3. Ding, Y.; Gadelrab, K.R.; Rodriguez, K.M.; Huang, H.; Ross, C.A.; Alexander-Katz, A. Emergent symmetries in block copolymer epitaxy. Nat. Commun. 2019, 10, 1–7. [Google Scholar] [CrossRef]
  4. Stein, A.; Wright, G.; Yager, K.G.; Doerk, G.S.; Black, C.T. Selective directed self-assembly of coexisting morphologies using block copolymer blends. Nat. Commun. 2016, 7, 1–7. [Google Scholar] [CrossRef] [PubMed]
  5. Stefik, M.; Guldin, S.; Vignolini, S.; Wiesner, U.; Steiner, U. Block copolymer self-assembly for nanophotonics. Chem. Soc. Rev. 2015, 44, 5076–5091. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  6. Yasen, W.; Dong, R.; Aini, A.; Zhu, X. Recent advances in supramolecular block copolymers for biomedical applications. J. Mater. Chem. B 2020, 8, 8219–8231. [Google Scholar] [CrossRef]
  7. Shiohara, A.; Prieto-Simon, B.; Voelcker, N.H. Porous polymeric membranes: Fabrication techniques and biomedical applications. J. Mater. Chem. B 2021, 9, 2129–2154. [Google Scholar] [CrossRef]
  8. Orilall, M.C.; Wiesner, U. Block copolymer based composition and morphology control in nanostructured hybrid materials for energy conversion and storage: Solar cells, batteries, and fuel cells. Chem. Soc. Rev. 2011, 40, 520–535. [Google Scholar] [CrossRef]
  9. Guo, C.; Lin, Y.H.; Witman, M.D.; Smith, K.A.; Wang, C.; Hexemer, A.; Strzalka, J.; Gomez, E.D.; Verduzco, R. Conjugated block copolymer photovoltaics with near 3% efficiency through microphase separation. Nano Lett. 2013, 13, 2957–2963. [Google Scholar] [CrossRef]
  10. Liu, C.C.; Franke, E.; Mignot, Y.; Xie, R.; Yeung, C.W.; Zhang, J.; Chi, C.; Zhang, C.; Farrell, R.; Lai, K.; et al. Directed self-assembly of block copolymers for 7 nanometre FinFET technology and beyond. Nat. Electron. 2018, 1, 562–569. [Google Scholar] [CrossRef]
  11. Jacobberger, R.M.; Thapar, V.; Wu, G.P.; Chang, T.H.; Saraswat, V.; Way, A.J.; Jinkins, K.R.; Ma, Z.; Nealey, P.F.; Hur, S.M.; et al. Boundary-directed epitaxy of block copolymers. Nat. Commun. 2020, 11, 1–10. [Google Scholar] [CrossRef]
  12. Wu, M.L.; Wang, D.; Wan, L.J. Directed block copolymer self-assembly implemented via surface-embedded electrets. Nat. Commun. 2016, 7, 1–7. [Google Scholar] [CrossRef] [Green Version]
  13. Ferrarese Lupi, F.; Giammaria, T.; Seguini, G.; Laus, M.; Enrico, E.; De Leo, N.; Boarino, L.; Ober, C.; Perego, M. Thermally induced orientational flipping of cylindrical phase diblock copolymers. J. Mater. Chem. C 2014, 2, 2175–2182. [Google Scholar] [CrossRef]
  14. Giammaria, T.J.; Ferrarese Lupi, F.; Seguini, G.; Perego, M.; Vita, F.; Francescangeli, O.; Wenning, B.; Ober, C.K.; Sparnacci, K.; Antonioli, D.; et al. Micrometer-scale ordering of silicon-containing block copolymer thin films via high-temperature thermal treatments. ACS Appl. Mater. Interfaces 2016, 8, 9897–9908. [Google Scholar] [CrossRef] [PubMed]
  15. Ferrarese Lupi, F.; Giammaria, T.J.; Miti, A.; Zuccheri, G.; Carignano, S.; Sparnacci, K.; Seguini, G.; De Leo, N.; Boarino, L.; Perego, M.; et al. Hierarchical order in dewetted block copolymer thin films on chemically patterned surfaces. ACS Nano 2018, 12, 7076–7085. [Google Scholar] [CrossRef] [PubMed]
  16. Leniart, A.A.; Pula, P.; Sitkiewicz, A.; Majewski, P.W. Macroscopic Alignment of Block Copolymers on Silicon Substrates by Laser Annealing. ACS Nano 2020, 14, 4805–4815. [Google Scholar] [CrossRef]
  17. Rahman, A.; Majewski, P.W.; Doerk, G.; Black, C.T.; Yager, K.G. Non-native three-dimensional block copolymer morphologies. Nat. Commun. 2016, 7, 1–8. [Google Scholar] [CrossRef] [PubMed]
  18. Jiang, J.; Jacobs, A.G.; Wenning, B.; Liedel, C.; Thompson, M.O.; Ober, C.K. Ultrafast Self-Assembly of Sub-10 nm Block Copolymer Nanostructures by Solvent-Free High-Temperature Laser Annealing. ACS Appl. Mater. Interfaces 2017, 9, 31317–31324. [Google Scholar] [CrossRef] [PubMed]
  19. Ferrarese Lupi, F.; Giammaria, T.J.; Seguini, G.; Vita, F.; Francescangeli, O.; Sparnacci, K.; Antonioli, D.; Gianotti, V.; Laus, M.; Perego, M. Fine tuning of lithographic masks through thin films of PS-b-PMMA with different molar mass by rapid thermal processing. ACS Appl. Mater. Interfaces 2014, 6, 7180–7188. [Google Scholar] [CrossRef] [PubMed]
  20. Berggren, K.; Xia, Q.; Likharev, K.K.; Strukov, D.B.; Jiang, H.; Mikolajick, T.; Querlioz, D.; Salinga, M.; Erickson, J.R.; Pi, S.; et al. Roadmap on emerging hardware and technology for machine learning. Nanotechnology 2020, 32, 012002. [Google Scholar] [CrossRef]
  21. Frascaroli, J.; Brivio, S.; Ferrarese Lupi, F.; Seguini, G.; Boarino, L.; Perego, M.; Spiga, S. Resistive switching in high-density nanodevices fabricated by block copolymer self-assembly. ACS Nano 2015, 9, 2518–2529. [Google Scholar] [CrossRef] [PubMed]
  22. Murataj, I.; Channab, M.; Cara, E.; Pirri, C.F.; Boarino, L.; Angelini, A.; Ferrarese Lupi, F. Hyperbolic Metamaterials via Hierarchical Block Copolymer Nanostructures. Adv. Opt. Mater. 2020, 2001933. [Google Scholar] [CrossRef]
  23. Kim, J.Y.; Kim, H.; Kim, B.H.; Chang, T.; Lim, J.; Jin, H.M.; Mun, J.H.; Choi, Y.J.; Chung, K.; Shin, J.; et al. Highly tunable refractive index visible-light metasurface from block copolymer self-assembly. Nat. Commun. 2016, 7, 1–9. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  24. She, Y.; Lee, J.; Diroll, B.T.; Lee, B.; Aouadi, S.; Shevchenko, E.V.; Berman, D. Rapid synthesis of nanoporous conformal coatings via plasma-enhanced sequential infiltration of a polymer template. ACS Omega 2017, 2, 7812–7819. [Google Scholar] [CrossRef] [PubMed]
  25. Peng, Q.; Tseng, Y.C.; Darling, S.B.; Elam, J.W. A route to nanoscopic materials via sequential infiltration synthesis on block copolymer templates. ACS Nano 2011, 5, 4600–4606. [Google Scholar] [CrossRef] [PubMed]
  26. Xu, J.; Berg, A.I.; Noheda, B.; Loos, K. Progress and perspective on polymer templating of multifunctional oxide nanostructures. J. Appl. Phys. 2020, 128, 190903. [Google Scholar] [CrossRef]
  27. Waldman, R.Z.; Mandia, D.J.; Yanguas-Gil, A.; Martinson, A.B.; Elam, J.W.; Darling, S.B. The chemical physics of sequential infiltration synthesis—A thermodynamic and kinetic perspective. J. Chem. Phys. 2019, 151, 190901. [Google Scholar] [CrossRef] [PubMed]
  28. Ingram, W.F.; Jur, J.S. Properties and applications of vapor infiltration into polymeric substrates. JOM 2019, 71, 238–245. [Google Scholar] [CrossRef]
  29. McGuinness, E.K.; Zhang, F.; Ma, Y.; Lively, R.P.; Losego, M.D. Vapor phase infiltration of metal oxides into nanoporous polymers for organic solvent separation membranes. Chem. Mater. 2019, 31, 5509–5518. [Google Scholar] [CrossRef]
  30. Leng, C.Z.; Losego, M.D. Vapor phase infiltration (VPI) for transforming polymers into organic–inorganic hybrid materials: A critical review of current progress and future challenges. Mater. Horiz. 2017, 4, 747–771. [Google Scholar] [CrossRef]
  31. Subramanian, A.; Doerk, G.; Kisslinger, K.; Daniel, H.Y.; Grubbs, R.B.; Nam, C.Y. Three-dimensional electroactive ZnO nanomesh directly derived from hierarchically self-assembled block copolymer thin films. Nanoscale 2019, 11, 9533–9546. [Google Scholar] [CrossRef] [PubMed]
  32. Lee, S.M.; Pippel, E.; Gösele, U.; Dresbach, C.; Qin, Y.; Chandran, C.V.; Bräuniger, T.; Hause, G.; Knez, M. Greatly increased toughness of infiltrated spider silk. Science 2009, 324, 488–492. [Google Scholar] [CrossRef]
  33. Peng, Q.; Tseng, Y.C.; Long, Y.; Mane, A.U.; DiDona, S.; Darling, S.B.; Elam, J.W. Effect of nanostructured domains in self-assembled block copolymer films on sequential infiltration synthesis. Langmuir 2017, 33, 13214–13223. [Google Scholar] [CrossRef]
  34. Dandley, E.C.; Needham, C.D.; Williams, P.S.; Brozena, A.H.; Oldham, C.J.; Parsons, G.N. Temperature-dependent reaction between trimethylaluminum and poly (methyl methacrylate) during sequential vapor infiltration: Experimental and ab initio analysis. J. Mater. Chem. C 2014, 2, 9416–9424. [Google Scholar] [CrossRef]
  35. Biswas, M.; Libera, J.A.; Darling, S.B.; Elam, J.W. New insight into the mechanism of sequential infiltration synthesis from infrared spectroscopy. Chem. Mater. 2014, 26, 6135–6141. [Google Scholar] [CrossRef]
  36. Biswas, M.; Libera, J.A.; Darling, S.B.; Elam, J.W. Kinetics for the sequential infiltration synthesis of alumina in poly (methyl methacrylate): An infrared spectroscopic study. J. Phys. Chem. C 2015, 119, 14585–14592. [Google Scholar] [CrossRef]
  37. Caligiore, F.E.; Nazzari, D.; Cianci, E.; Sparnacci, K.; Laus, M.; Perego, M.; Seguini, G. Effect of the Density of Reactive Sites in P(S-r-MMA) Film during Al2O3 Growth by Sequential Infiltration Synthesis. Adv. Mater. Interfaces 2019, 6, 1900503. [Google Scholar] [CrossRef]
  38. Liapis, A.C.; Subramanian, A.; Cho, S.; Kisslinger, K.; Nam, C.Y.; Yun, S.H. Conformal Coating of Freestanding Particles by Vapor-Phase Infiltration. Adv. Mater. Interfaces 2020, 7, 2001323. [Google Scholar] [CrossRef] [PubMed]
  39. Hill, G.T.; Lee, D.T.; Williams, P.S.; Needham, C.D.; Dandley, E.C.; Oldham, C.J.; Parsons, G.N. Insight on the Sequential Vapor Infiltration Mechanisms of Trimethylaluminum with Poly (methyl methacrylate), Poly (vinylpyrrolidone), and Poly (acrylic acid). J. Phys. Chem. C 2019, 123, 16146–16152. [Google Scholar] [CrossRef]
  40. Biswas, M.; Libera, J.A.; Darling, S.B.; Elam, J.W. Polycaprolactone: A Promising Addition to the Sequential Infiltration Synthesis Polymer Family Identified through In Situ Infrared Spectroscopy. ACS Appl. Polym. Mater. 2020, 2, 5501–5510. [Google Scholar] [CrossRef]
  41. Ocola, L.E.; Connolly, A.; Gosztola, D.J.; Schaller, R.D.; Yanguas-Gil, A. Infiltrated zinc oxide in poly (methyl methacrylate): An atomic cycle growth study. J. Phys. Chem. C 2017, 121, 1893–1903. [Google Scholar] [CrossRef]
  42. Kim, J.J.; Suh, H.S.; Zhou, C.; Mane, A.U.; Lee, B.; Kim, S.; Emery, J.D.; Elam, J.W.; Nealey, P.F.; Fenter, P.; et al. Mechanistic understanding of tungsten oxide in-plane nanostructure growth via sequential infiltration synthesis. Nanoscale 2018, 10, 3469–3479. [Google Scholar] [CrossRef] [PubMed]
  43. Barick, B.K.; Simon, A.; Weisbord, I.; Shomrat, N.; Segal-Peretz, T. Tin oxide nanostructure fabrication via sequential infiltration synthesis in block copolymer thin films. J. Colloid Interface Sci. 2019, 557, 537–545. [Google Scholar] [CrossRef] [PubMed]
  44. Yi, D.H.; Nam, C.Y.; Doerk, G.; Black, C.T.; Grubbs, R.B. Infiltration synthesis of diverse metal oxide nanostructures from epoxidized diene–styrene block copolymer templates. ACS Appl. Polym. Mater. 2019, 1, 672–683. [Google Scholar] [CrossRef]
  45. Pilz, J.; Coclite, A.M.; Losego, M.D. Vapor phase infiltration of zinc oxide into thin films of cis-polyisoprene rubber. Mater. Adv. 2020, 1, 1695–1704. [Google Scholar] [CrossRef]
  46. She, Y.; Lee, J.; Lee, B.; Diroll, B.; Scharf, T.; Shevchenko, E.V.; Berman, D. Effect of the micelle opening in self-assembled amphiphilic block Co-polymer films on the infiltration of inorganic precursors. Langmuir 2019, 35, 796–803. [Google Scholar] [CrossRef]
  47. She, Y.; Goodman, E.D.; Lee, J.; Diroll, B.T.; Cargnello, M.; Shevchenko, E.V.; Berman, D. Block-co-polymer-assisted synthesis of all inorganic highly porous heterostructures with highly accessible thermally stable functional centers. ACS Appl. Mater. Interfaces 2019, 11, 30154–30162. [Google Scholar] [CrossRef]
  48. Peng, Q.; Tseng, Y.C.; Darling, S.B.; Elam, J.W. Nanoscopic patterned materials with tunable dimensions via atomic layer deposition on block copolymers. Adv. Mater. 2010, 22, 5129–5133. [Google Scholar] [CrossRef]
  49. Waldman, R.Z.; Jeon, N.; Mandia, D.J.; Heinonen, O.; Darling, S.B.; Martinson, A.B. Sequential infiltration synthesis of electronic materials: Group 13 oxides via metal alkyl precursors. Chem. Mater. 2019, 31, 5274–5285. [Google Scholar] [CrossRef]
  50. Berman, D.; Shevchenko, E. Design of functional composite and all-inorganic nanostructured materials via infiltration of polymer templates with inorganic precursors. J. Mater. Chem. C 2020, 8, 10604–10627. [Google Scholar] [CrossRef]
  51. Azoulay, R.; Shomrat, N.; Weisbord, I.; Atiya, G.; Segal-Peretz, T. Metal oxide heterostructure array via spatially controlled–growth within block copolymer templates. Small 2019, 15, 1904657. [Google Scholar] [CrossRef]
  52. Weisbord, I.; Shomrat, N.; Azoulay, R.; Kaushansky, A.; Segal-Peretz, T. Understanding and Controlling Polymer–Organometallic Precursor Interactions in Sequential Infiltration Synthesis. Chem. Mater. 2020, 32, 4499–4508. [Google Scholar] [CrossRef]
  53. Leng, C.Z.; Losego, M.D. A physiochemical processing kinetics model for the vapor phase infiltration of polymers: Measuring the energetics of precursor-polymer sorption, diffusion, and reaction. Phys. Chem. Chem. Phys. 2018, 20, 21506–21514. [Google Scholar] [CrossRef] [PubMed]
  54. Padbury, R.P.; Jur, J.S. Systematic study of trimethyl aluminum infiltration in polyethylene terephthalate and its effect on the mechanical properties of polyethylene terephthalate fibers. J. Vac. Sci. Technol. A 2015, 33, 01A112. [Google Scholar] [CrossRef]
  55. Young, M.J.; Choudhury, D.; Letourneau, S.; Mane, A.; Yanguas-Gil, A.; Elam, J.W. Molecular Layer Etching of Metalcone Films Using Lithium Organic Salts and Trimethylaluminum. Chem. Mater. 2020, 32, 992–1001. [Google Scholar] [CrossRef]
  56. Cianci, E.; Nazzari, D.; Seguini, G.; Perego, M. Trimethylaluminum diffusion in PMMA thin films during sequential infiltration synthesis: In situ dynamic spectroscopic ellipsometric investigation. Adv. Mater. Interfaces 2018, 5, 1801016. [Google Scholar] [CrossRef]
  57. Aprile, G.; Ferrarese Lupi, F.; Fretto, M.; Enrico, E.; De Leo, N.; Boarino, L.; Volpe, F.G.; Seguini, G.; Sparnacci, K.; Gianotti, V.; et al. Toward Lateral Length Standards at the Nanoscale Based on Diblock Copolymers. ACS Appl. Mater. Interfaces 2017, 9, 15685–15697. [Google Scholar] [CrossRef]
  58. Ishchenko, O.M.; Krishnamoorthy, S.; Valle, N.; Guillot, J.; Turek, P.; Fechete, I.; Lenoble, D. Investigating sequential vapor infiltration synthesis on block-copolymer-templated titania nanoarrays. J. Phys. Chem. C 2016, 120, 7067–7076. [Google Scholar] [CrossRef]
  59. Ozaki, Y.; Ito, S.; Hiroshiba, N.; Nakamura, T.; Nakagawa, M. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina. Jpn. J. Appl. Phys. 2018, 57, 06HG01. [Google Scholar] [CrossRef] [Green Version]
  60. Segal-Peretz, T.; Winterstein, J.; Doxastakis, M.; Ramirez-Hernandez, A.; Biswas, M.; Ren, J.; Suh, H.S.; Darling, S.B.; Liddle, J.A.; Elam, J.W.; et al. Characterizing the three-dimensional structure of block copolymers via sequential infiltration synthesis and scanning transmission electron tomography. ACS Nano 2015, 9, 5333–5347. [Google Scholar] [CrossRef]
  61. Lee, S.; Subramanian, A.; Tiwale, N.; Kisslinger, K.; Mumtaz, M.; Shi, L.Y.; Aissou, K.; Nam, C.Y.; Ross, C.A. Resolving Triblock Terpolymer Morphologies by Vapor-Phase Infiltration. Chem. Mater. 2020, 32, 5309–5316. [Google Scholar] [CrossRef]
  62. McGuinness, E.K.; Leng, C.Z.; Losego, M.D. Increased Chemical Stability of Vapor-Phase Infiltrated AlO x–Poly (methyl methacrylate) Hybrid Materials. ACS Appl. Polym. Mater. 2020, 2, 1335–1344. [Google Scholar] [CrossRef]
  63. Ito, S.; Ozaki, Y.; Nakamura, T.; Nakagawa, M. Depth profiles of aluminum component in sequential infiltration synthesis-treated electron beam resist films analyzed by time-of-flight secondary ion mass spectrometry. Jpn. J. Appl. Phys. 2020, 59, SIIC03. [Google Scholar] [CrossRef]
  64. He, X.; Waldman, R.Z.; Mandia, D.J.; Jeon, N.; Zaluzec, N.J.; Borkiewicz, O.J.; Ruett, U.; Darling, S.B.; Martinson, A.B.; Tiede, D.M. Resolving the Atomic Structure of Sequential Infiltration Synthesis Derived Inorganic Clusters. ACS Nano 2020, 14, 14846–14860. [Google Scholar] [CrossRef]
  65. Marneffe, J.F.d.; Chan, B.T.; Spieser, M.; Vereecke, G.; Naumov, S.; Vanhaeren, D.; Wolf, H.; Knoll, A.W. Conversion of a patterned organic resist into a high performance inorganic hard mask for high resolution pattern transfer. ACS Nano 2018, 12, 11152–11160. [Google Scholar] [CrossRef]
  66. Ozaki, Y.; Ito, S.; Nakamura, T.; Nakagawa, M. Sequential infiltration synthesis-and solvent annealing-induced morphological changes in positive-tone e-beam resist patterns evaluated by atomic force microscopy. Jpn. J. Appl. Phys. 2019, 58, SDDJ04. [Google Scholar] [CrossRef]
  67. Lorenzoni, M.; Evangelio, L.; Fernandez-Regulez, M.; Nicolet, C.; Navarro, C.; Perez-Murano, F. Sequential infiltration of self-assembled block copolymers: A study by atomic force microscopy. J. Phys. Chem. C 2017, 121, 3078–3086. [Google Scholar] [CrossRef]
  68. Massonnet, P.; Heeren, R.M. A concise tutorial review of TOF-SIMS based molecular and cellular imaging. J. Anal. At. Spectrom. 2019, 34, 2217–2228. [Google Scholar] [CrossRef]
  69. Bergsman, D.S.; Getachew, B.A.; Cooper, C.B.; Grossman, J.C. Preserving nanoscale features in polymers during laser induced graphene formation using sequential infiltration synthesis. Nat. Commun. 2020, 11, 1–8. [Google Scholar] [CrossRef] [PubMed]
  70. Ferrarese Lupi, F.; Giammaria, T.J.; Seguini, G.; Laus, M.; Dubček, P.; Pivac, B.; Bernstorff, S.; Perego, M. GISAXS analysis of the in-depth morphology of thick PS-b-PMMA films. ACS Appl. Mater. Interfaces 2017, 9, 11054–11063. [Google Scholar] [CrossRef] [PubMed]
  71. Dialameh, M.; Ferrarese Lupi, F.; Hönicke, P.; Kayser, Y.; Beckhoff, B.; Weimann, T.; Fleischmann, C.; Vandervorst, W.; Dubček, P.; Pivac, B.; et al. Development and Synchrotron-Based Characterization of Al and Cr Nanostructures as Potential Calibration Samples for 3D Analytical Techniques. Phys. Status Solidi A 2018, 215, 1700866. [Google Scholar] [CrossRef]
  72. Tiede, D.M.; Kwon, G.; He, X.; Mulfort, K.L.; Martinson, A.B. Characterizing electronic and atomic structures for amorphous and molecular metal oxide catalysts at functional interfaces by combining soft X-ray spectroscopy and high-energy X-ray scattering. Nanoscale 2020, 12, 13276–13296. [Google Scholar] [CrossRef]
  73. Vora, A.; Schmidt, K.; Alva, G.; Arellano, N.; Magbitang, T.; Chunder, A.; Thompson, L.E.; Lofano, E.; Pitera, J.W.; Cheng, J.Y.; et al. Orientation control of block copolymers using surface active, phase-preferential additives. ACS Appl. Mater. Interfaces 2016, 8, 29808–29817. [Google Scholar] [CrossRef]
  74. Elam, J.W.; Biswas, M.; Darling, S.; Yanguas-Gil, A.; Emery, J.D.; Martinson, A.B.; Nealey, P.F.; Segal-Peretz, T.; Peng, Q.; Winterstein, J.; et al. New insights into sequential infiltration synthesis. ECS Trans. 2015, 69, 147. [Google Scholar] [CrossRef] [Green Version]
  75. Mokarian-Tabari, P.; Senthamaraikannan, R.; Glynn, C.; Collins, T.W.; Cummins, C.; Nugent, D.; O’Dwyer, C.; Morris, M.A. Large block copolymer self-assembly for fabrication of subwavelength nanostructures for applications in optics. Nano Lett. 2017, 17, 2973–2978. [Google Scholar] [CrossRef] [PubMed]
  76. Wan, Z.; Lee, H.J.; Kim, H.G.; Jo, G.C.; Park, W.I.; Ryu, S.W.; Lee, H.B.R.; Kwon, S.H. Circular Double-Patterning Lithography Using a Block Copolymer Template and Atomic Layer Deposition. Adv. Mater. Interfaces 2018, 5, 1800054. [Google Scholar] [CrossRef]
  77. Guldin, S.; Kohn, P.; Stefik, M.; Song, J.; Divitini, G.; Ecarla, F.; Ducati, C.; Wiesner, U.; Steiner, U. Self-cleaning antireflective optical coatings. Nano Lett. 2013, 13, 5329–5335. [Google Scholar] [CrossRef] [PubMed]
  78. Berman, D.; Guha, S.; Lee, B.; Elam, J.W.; Darling, S.B.; Shevchenko, E.V. Sequential infiltration synthesis for the design of low refractive index surface coatings with controllable thickness. ACS Nano 2017, 11, 2521–2530. [Google Scholar] [CrossRef] [PubMed]
  79. Li, Z.; Yang, R.; Yu, M.; Bai, F.; Li, C.; Wang, Z.L. Cellular level biocompatibility and biosafety of ZnO nanowires. J. Phys. Chem. C 2008, 112, 20114–20117. [Google Scholar] [CrossRef] [Green Version]
  80. Huang, K.M.; Ho, C.L.; Chang, H.J.; Wu, M.C. Fabrication of inverted zinc oxide photonic crystal using sol–gel solution by spin coating method. Nanoscale Res. Lett. 2013, 8, 306. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  81. Pan, J.; Chen, J.; Huang, Q.; Khan, Q.; Liu, X.; Tao, Z.; Zhang, Z.; Lei, W.; Nathan, A. Size tunable ZnO nanoparticles to enhance electron injection in solution processed QLEDs. ACS Photonics 2016, 3, 215–222. [Google Scholar] [CrossRef]
  82. Zhu, L.; Zeng, W. Room-temperature gas sensing of ZnO-based gas sensor: A review. Sens. Actuators A Phys. 2017, 267, 242–261. [Google Scholar] [CrossRef]
  83. Mustapha, S.; Ndamitso, M.; Abdulkareem, A.; Tijani, J.; Shuaib, D.; Ajala, A.; Mohammed, A. Application of TiO2 and ZnO nanoparticles immobilized on clay in wastewater treatment: A review. Appl. Water Sci. 2020, 10, 1–36. [Google Scholar] [CrossRef] [Green Version]
  84. Fang, B.; Zhang, C.; Wang, G.; Wang, M.; Ji, Y. A glucose oxidase immobilization platform for glucose biosensor using ZnO hollow nanospheres. Sens. Actuators B Chem. 2011, 155, 304–310. [Google Scholar] [CrossRef]
  85. Kamcev, J.; Germack, D.S.; Nykypanchuk, D.; Grubbs, R.B.; Nam, C.Y.; Black, C.T. Chemically enhancing block copolymers for block-selective synthesis of self-assembled metal oxide nanostructures. ACS Nano 2013, 7, 339–346. [Google Scholar] [CrossRef]
  86. Ocola, L.E.; Gosztola, D.J.; Yanguas-Gil, A.; Suh, H.S.; Connolly, A. Photoluminescence of sequential infiltration synthesized ZnO nanostructures. In Quantum Sensing and Nano Electronics and Photonics XIII; International Society for Optics and Photonics: Bellingham, WA, USA, 2016; Volume 9755, p. 97552C. [Google Scholar]
  87. Choi, S.; Berhane, A.M.; Gentle, A.; Ton-That, C.; Phillips, M.R.; Aharonovich, I. Electroluminescence from localized defects in zinc oxide: Toward electrically driven single photon sources at room temperature. ACS Appl. Mater. Interfaces 2015, 7, 5619–5623. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  88. Berggren, M.; Nilsson, D.; Robinson, N.D. Organic materials for printed electronics. Nat. Mater. 2007, 6, 3–5. [Google Scholar] [CrossRef]
  89. Dimitrakopoulos, C.D.; Malenfant, P.R. Organic thin film transistors for large area electronics. Adv. Mater. 2002, 14, 99–117. [Google Scholar] [CrossRef]
  90. Gross, M.; Müller, D.C.; Nothofer, H.G.; Scherf, U.; Neher, D.; Bräuchle, C.; Meerholz, K. Improving the performance of doped π-conjugated polymers for use in organic light-emitting diodes. Nature 2000, 405, 661–665. [Google Scholar] [CrossRef]
  91. Hains, A.W.; Liang, Z.; Woodhouse, M.A.; Gregg, B.A. Molecular semiconductors in organic photovoltaic cells. Chem. Rev. 2010, 110, 6689–6735. [Google Scholar] [CrossRef]
  92. Forrest, S.R. The path to ubiquitous and low-cost organic electronic appliances on plastic. Nature 2004, 428, 911–918. [Google Scholar] [CrossRef]
  93. Muench, S.; Wild, A.; Friebe, C.; Häupler, B.; Janoschka, T.; Schubert, U.S. Polymer-based organic batteries. Chem. Rev. 2016, 116, 9438–9484. [Google Scholar] [CrossRef]
  94. Gregorczyk, K.; Knez, M. Hybrid nanomaterials through molecular and atomic layer deposition: Top down, bottom up, and in-between approaches to new materials. Prog. Mater. Sci. 2016, 75, 1–37. [Google Scholar] [CrossRef]
  95. Sanchez, C.; Julián, B.; Belleville, P.; Popall, M. Applications of hybrid organic–inorganic nanocomposites. J. Mater. Chem. 2005, 15, 3559–3592. [Google Scholar] [CrossRef]
  96. Wang, W.; Chen, C.; Tollan, C.; Yang, F.; Beltran, M.; Qin, Y.; Knez, M. Conductive Polymer–Inorganic Hybrid Materials through Synergistic Mutual Doping of the Constituents. ACS Appl. Mater. Interfaces 2017, 9, 27964–27971. [Google Scholar] [CrossRef]
  97. Yu, Y.; Li, Z.; Wang, Y.; Gong, S.; Wang, X. Sequential infiltration synthesis of doped polymer films with tunable electrical properties for efficient triboelectric nanogenerator development. Adv. Mater. 2015, 27, 4938–4944. [Google Scholar] [CrossRef] [PubMed]
  98. Wang, H.; Lin, J.; Shen, Z.X. Polyaniline (PANi) based electrode materials for energy storage and conversion. J. Sci. Adv. Mater. Dev. 2016, 1, 225–255. [Google Scholar] [CrossRef] [Green Version]
  99. Wang, W.; Yang, F.; Chen, C.; Zhang, L.; Qin, Y.; Knez, M. Tuning the conductivity of polyaniline through doping by means of single precursor vapor phase infiltration. Adv. Mater. Interfaces 2017, 4, 1600806. [Google Scholar] [CrossRef]
  100. Wang, W.; Chen, C.; Tollan, C.; Yang, F.; Qin, Y.; Knez, M. Efficient and controllable vapor to solid doping of the polythiophene P3HT by low temperature vapor phase infiltration. J. Mater. Chem. C 2017, 5, 2686–2694. [Google Scholar] [CrossRef]
  101. Ocola, L.E.; Wang, Y.; Divan, R.; Chen, J. Multifunctional UV and gas sensors based on vertically nanostructured zinc oxide: Volume versus surface effect. Sensors 2019, 19, 2061. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  102. Nam, C.Y.; Stein, A.; Kisslinger, K.; Black, C.T. Electrical and structural properties of ZnO synthesized via infiltration of lithographically defined polymer templates. Appl. Phys. Lett. 2015, 107, 203106. [Google Scholar] [CrossRef] [Green Version]
  103. Janotti, A.; Van de Walle, C.G. Fundamentals of zinc oxide as a semiconductor. Rep. Prog. Phys. 2009, 72, 126501. [Google Scholar] [CrossRef] [Green Version]
  104. Chiu, S.P.; Lin, Y.H.; Lin, J.J. Electrical conduction mechanisms in natively doped ZnO nanowires. Nanotechnology 2008, 20, 015203. [Google Scholar] [CrossRef]
  105. Milano, G.; D’Ortenzi, L.; Bejtka, K.; Ciubini, B.; Porro, S.; Boarino, L.; Ricciardi, C. Metal-insulator transition in single crystalline ZnO nanowires. Nanotechnology 2021, 32, 185202. [Google Scholar] [CrossRef] [PubMed]
  106. Chakrabarti, B.; Chan, H.; Alam, K.; Koneru, A.; Gage, T.E.; Ocola, L.E.; Divan, R.; Rosenmann, D.; Khanna, A.; Grisafe, B.; et al. Nanoporous Dielectric Resistive Memories Using Sequential Infiltration Synthesis. ACS Nano 2021, 15, 4155–4164. [Google Scholar] [CrossRef] [PubMed]
  107. Yang, F.; Brede, J.; Ablat, H.; Abadia, M.; Zhang, L.; Rogero, C.; Elliott, S.D.; Knez, M. Reversible and irreversible reactions of trimethylaluminum with common organic functional groups as a model for molecular layer deposition and vapor phase infiltration. Adv. Mater. Interfaces 2017, 4, 1700237. [Google Scholar] [CrossRef] [Green Version]
  108. Waszkowska, K.; Krupka, O.; Kharchenko, O.; Figà, V.; Smokal, V.; Kutsevol, N.; Sahraoui, B. Influence of ZnO nanoparticles on nonlinear optical properties. Appl. Nanosci. 2020, 10, 4977–4982. [Google Scholar] [CrossRef]
  109. Huo, P.; Zhang, S.; Liang, Y.; Lu, Y.; Xu, T. Hyperbolic Metamaterials: Hyperbolic Metamaterials and Metasurfaces: Fundamentals and Applications. Adv. Opt. Mater. 2019, 7, 1970054. [Google Scholar] [CrossRef] [Green Version]
  110. Prezhdo, O.V. Advancing Physical Chemistry with Machine Learning. J. Phys. Chem. Lett. 2020, 11, 9656–9658. [Google Scholar] [CrossRef]
  111. Butler, K.T.; Davies, D.W.; Cartwright, H.; Isayev, O.; Walsh, A. Machine learning for molecular and materials science. Nature 2018, 559, 547–555. [Google Scholar] [CrossRef]
Figure 1. Schematic process flow of the sequential infiltration synthesis of block copolymers (BCPs). (a) ALD cycles with gaseous precursors (for instance trimethyl aluminum (TMA) and water). (b) Removal of the uninfiltrated polymeric component by plasma etching. (c) Inorganic replica of the BCPs template.
Figure 1. Schematic process flow of the sequential infiltration synthesis of block copolymers (BCPs). (a) ALD cycles with gaseous precursors (for instance trimethyl aluminum (TMA) and water). (b) Removal of the uninfiltrated polymeric component by plasma etching. (c) Inorganic replica of the BCPs template.
Nanomaterials 11 00994 g001
Figure 2. (a) Schematic comparison of conventional atomic layer deposition (ALD) and sequential infiltration synthesis (SIS) protocols. Reproduced and adapted from reference [27]. Copyright 2019, AIP Publishing. (b) Schematic illustration of metal–organic precursor infiltration process into polymers. Adapted with permission from reference [29]. Copyright 2019 American Chemical Society.
Figure 2. (a) Schematic comparison of conventional atomic layer deposition (ALD) and sequential infiltration synthesis (SIS) protocols. Reproduced and adapted from reference [27]. Copyright 2019, AIP Publishing. (b) Schematic illustration of metal–organic precursor infiltration process into polymers. Adapted with permission from reference [29]. Copyright 2019 American Chemical Society.
Nanomaterials 11 00994 g002
Figure 3. Proposed pericyclic mechanism for trimethylaluminum (TMA) and poly(acrylic acid) (PAA) reaction. Adapted with permission from reference [39]. Copyright 2019 American Chemical Society.
Figure 3. Proposed pericyclic mechanism for trimethylaluminum (TMA) and poly(acrylic acid) (PAA) reaction. Adapted with permission from reference [39]. Copyright 2019 American Chemical Society.
Nanomaterials 11 00994 g003
Figure 4. (a) Balance point temperature calculations for TMA-PMMA (poly(methyl methacrylate)) and TMA-P2VP (poly(2-vinylpyridine)) pairs and (b) relative experimental mass gain as a function of the temperature. Reproduced and adapted under the terms of Creative Commons Attribution 4.0 License from reference [52]. Copyright 2020 American Chemical Society.
Figure 4. (a) Balance point temperature calculations for TMA-PMMA (poly(methyl methacrylate)) and TMA-P2VP (poly(2-vinylpyridine)) pairs and (b) relative experimental mass gain as a function of the temperature. Reproduced and adapted under the terms of Creative Commons Attribution 4.0 License from reference [52]. Copyright 2020 American Chemical Society.
Nanomaterials 11 00994 g004
Figure 5. Top-down and cross-sectional scanning electron microscopy (SEM) images of AlO x nanopatterns obtained after SIS at 80 C , 150 C and multi-temperature processes. Scales bars are 100 nm. Reproduced and adapted under the terms of Creative Commons Attribution 4.0 License from reference [52]. Copyright 2020 American Chemical Society.
Figure 5. Top-down and cross-sectional scanning electron microscopy (SEM) images of AlO x nanopatterns obtained after SIS at 80 C , 150 C and multi-temperature processes. Scales bars are 100 nm. Reproduced and adapted under the terms of Creative Commons Attribution 4.0 License from reference [52]. Copyright 2020 American Chemical Society.
Nanomaterials 11 00994 g005
Figure 6. The adsorption spectra of poly( ϵ -caprolactone) (PCL) infiltrated with (a) TMA and (b) TiCL 4 are shown. The spectra from bottom to top are referred to the pristine polymer layer (black line), the first SIS cycle (red and blue lines) and the second SIS cycle (pink and green lines). The histogram in panel (c) summarizes the percentage consumption of C=O (for PMMA and PCL) and C=N (for P2VP) functional groups at different stages of the infiltration process. All panels are reproduced and adapted with permission from reference [40]. Copyright 2020 American Chemical Society.
Figure 6. The adsorption spectra of poly( ϵ -caprolactone) (PCL) infiltrated with (a) TMA and (b) TiCL 4 are shown. The spectra from bottom to top are referred to the pristine polymer layer (black line), the first SIS cycle (red and blue lines) and the second SIS cycle (pink and green lines). The histogram in panel (c) summarizes the percentage consumption of C=O (for PMMA and PCL) and C=N (for P2VP) functional groups at different stages of the infiltration process. All panels are reproduced and adapted with permission from reference [40]. Copyright 2020 American Chemical Society.
Nanomaterials 11 00994 g006
Figure 7. (a) Quartz crystal microbalance (QCM) gravimetry performed in situ during TiO 2 SIS in a PMMA thin film. The graph displays the mass gain as a function of processing time. (b) Net mass gain on three different polymers (PS, PMMA and PS-b-PMMA) as a function of the cycle number. The graph is reproduced with permission from reference [33]. Copyright 2017 American Chemical Society.
Figure 7. (a) Quartz crystal microbalance (QCM) gravimetry performed in situ during TiO 2 SIS in a PMMA thin film. The graph displays the mass gain as a function of processing time. (b) Net mass gain on three different polymers (PS, PMMA and PS-b-PMMA) as a function of the cycle number. The graph is reproduced with permission from reference [33]. Copyright 2017 American Chemical Society.
Nanomaterials 11 00994 g007
Figure 8. (ad) TEM images at two different magnifications of the inorganic BCPs template, constituted of PS cylinders in a PMMA matrix infiltrated with (a,b) 3 cycles or (c,d) 10 cycles of In 2 O 3 . (ad) are reproduced with permission from reference [64]. Copyright 2019 American Chemical Society.
Figure 8. (ad) TEM images at two different magnifications of the inorganic BCPs template, constituted of PS cylinders in a PMMA matrix infiltrated with (a,b) 3 cycles or (c,d) 10 cycles of In 2 O 3 . (ad) are reproduced with permission from reference [64]. Copyright 2019 American Chemical Society.
Nanomaterials 11 00994 g008
Figure 9. (a) Increase of the Young’s modulus variation at 5, 8 and 11 SIS cycles in PMMA domains. In the inset, the variation of the Young’s modulus for PMMA and PS phases is shown as a function of the number of SIS cycles. (b) Distribution of the adhesion force measured on PMMA nanodomains in before and after the infiltration process. All panels are reproduced with permission from reference [67]. Copyright 2017 American Chemical Society.
Figure 9. (a) Increase of the Young’s modulus variation at 5, 8 and 11 SIS cycles in PMMA domains. In the inset, the variation of the Young’s modulus for PMMA and PS phases is shown as a function of the number of SIS cycles. (b) Distribution of the adhesion force measured on PMMA nanodomains in before and after the infiltration process. All panels are reproduced with permission from reference [67]. Copyright 2017 American Chemical Society.
Nanomaterials 11 00994 g009
Figure 10. X-ray photoelectron spectroscopy (XPS) spectra recorded for Sn of SnO x grown by SIS with (a) pre-treatment and (b) without pre-treatment processing showing both Sn 3 d 5 / 2 and Sn 3 d 3 / 2 (P transitions. Adapted with permission from reference [43]. Copyright 2019 Elsevier Inc.
Figure 10. X-ray photoelectron spectroscopy (XPS) spectra recorded for Sn of SnO x grown by SIS with (a) pre-treatment and (b) without pre-treatment processing showing both Sn 3 d 5 / 2 and Sn 3 d 3 / 2 (P transitions. Adapted with permission from reference [43]. Copyright 2019 Elsevier Inc.
Nanomaterials 11 00994 g010
Figure 11. Broadband BCPs-based anti-reflective coatings (ARC) realized by (a) silicon nanopillars (b) TiO 2 nanocrystals inclusion inside poly(1,4-isoprene)-block-poly(ethylene oxide) (PI-b-PEO) micelles and (c) sequential infiltration synthesis of Al 2 O 3 in cylindrical phase PS-b-P4VP. With these techniques, refractive index values approaching to n ARC 1.1 can be achieved. (a) Adapted with permission from reference [75]. Copyright 2017 American Chemical Society. (b) Adapted with permission from reference [77]. Copyright 2013 American Chemical Society. (c) Adapted with permission from reference [78]. Copyright 2017 American Chemical Society.
Figure 11. Broadband BCPs-based anti-reflective coatings (ARC) realized by (a) silicon nanopillars (b) TiO 2 nanocrystals inclusion inside poly(1,4-isoprene)-block-poly(ethylene oxide) (PI-b-PEO) micelles and (c) sequential infiltration synthesis of Al 2 O 3 in cylindrical phase PS-b-P4VP. With these techniques, refractive index values approaching to n ARC 1.1 can be achieved. (a) Adapted with permission from reference [75]. Copyright 2017 American Chemical Society. (b) Adapted with permission from reference [77]. Copyright 2013 American Chemical Society. (c) Adapted with permission from reference [78]. Copyright 2017 American Chemical Society.
Nanomaterials 11 00994 g011
Figure 12. PL spectra recorded at different SIS steps and for variable excitation wavelengths between 220 and 285 nm: (a) Water terminated Al 2 O 3 seed layer, (b) first half cycle of DEZ, (c) second half cycle of H 2 O and (d) second half cycle of DEZ. The schematics on the right of all PL spectra illustrate the stage of ZnO growth that corresponds to each half cycle. (e) Emission spectra components as a function of the number of SIS cycles (the scaling factors are shown on the right side). All panels are adapted with permission from reference [41]. Copyright 2017 American Chemical Society.
Figure 12. PL spectra recorded at different SIS steps and for variable excitation wavelengths between 220 and 285 nm: (a) Water terminated Al 2 O 3 seed layer, (b) first half cycle of DEZ, (c) second half cycle of H 2 O and (d) second half cycle of DEZ. The schematics on the right of all PL spectra illustrate the stage of ZnO growth that corresponds to each half cycle. (e) Emission spectra components as a function of the number of SIS cycles (the scaling factors are shown on the right side). All panels are adapted with permission from reference [41]. Copyright 2017 American Chemical Society.
Nanomaterials 11 00994 g012
Figure 13. (a) I-V characteristics at room temperature of polyaniline (PANI) doped with different numbers of infiltration cycles (time exposure of 120 s). (b) Comparison of the conductivity of HCl-doped PANI (red box), atomic layer deposition (ALD)-deposited ZnO grown on PANI with an Al 2 O 3 infiltration barrier (green box) and PANI infiltrated with ZnO by using different exposure time and cycle numbers. All panels are adapted with permission from reference [96]. Copyright 2017, American Chemical Society.
Figure 13. (a) I-V characteristics at room temperature of polyaniline (PANI) doped with different numbers of infiltration cycles (time exposure of 120 s). (b) Comparison of the conductivity of HCl-doped PANI (red box), atomic layer deposition (ALD)-deposited ZnO grown on PANI with an Al 2 O 3 infiltration barrier (green box) and PANI infiltrated with ZnO by using different exposure time and cycle numbers. All panels are adapted with permission from reference [96]. Copyright 2017, American Chemical Society.
Nanomaterials 11 00994 g013
Figure 14. (a) Permeability of polyethersulfone (PES) membranes with and without SIS treatment before and after forming a laser-induced graphene (LIG) coating and (b) sheet resistance of lased membranes with and without SIS treatment as a function of the used laser power. All panels are reproduced and adapted under the terms of Creative Commons Attribution 4.0 License from reference [69]. Copyright 2020, the authors, published by Springer Nature.
Figure 14. (a) Permeability of polyethersulfone (PES) membranes with and without SIS treatment before and after forming a laser-induced graphene (LIG) coating and (b) sheet resistance of lased membranes with and without SIS treatment as a function of the used laser power. All panels are reproduced and adapted under the terms of Creative Commons Attribution 4.0 License from reference [69]. Copyright 2020, the authors, published by Springer Nature.
Nanomaterials 11 00994 g014
Figure 15. (a) Schematic representation of the ZnO patterning process consisting in the deposition of a SU-8 polymer, definition of SU-8 templates by lithography, infiltration synthesis with ZnO and formation of ZnO nanostructures by removing the polymer template through oxygen plasma. (b) Nanowire (NW) field effect transistor (NW-FET) transistor configuration where S, D and G represent source, drain and gate, respectively. (c) I DS vs V DS as a function of different V G . The inset in the top left shows the dependence of the zero-bias conductance on V G while the inset in the bottom right shows an SEM image of the NW-FET (scale bar of 500 nm). (d) I DS vs V G for different V DS . The inset shows the dependence of the transconductance (d I DS / d V G ) on V DS . All panels are reproduced and adapted from reference [102]. Copyright 2015, AIP Publishing.
Figure 15. (a) Schematic representation of the ZnO patterning process consisting in the deposition of a SU-8 polymer, definition of SU-8 templates by lithography, infiltration synthesis with ZnO and formation of ZnO nanostructures by removing the polymer template through oxygen plasma. (b) Nanowire (NW) field effect transistor (NW-FET) transistor configuration where S, D and G represent source, drain and gate, respectively. (c) I DS vs V DS as a function of different V G . The inset in the top left shows the dependence of the zero-bias conductance on V G while the inset in the bottom right shows an SEM image of the NW-FET (scale bar of 500 nm). (d) I DS vs V G for different V DS . The inset shows the dependence of the transconductance (d I DS / d V G ) on V DS . All panels are reproduced and adapted from reference [102]. Copyright 2015, AIP Publishing.
Nanomaterials 11 00994 g015
Table 1. Polymers sorted by functional groups, utilized as templates for sequential infiltration synthesis (SIS) in the recent literature and the corresponding references.
Table 1. Polymers sorted by functional groups, utilized as templates for sequential infiltration synthesis (SIS) in the recent literature and the corresponding references.
Functional GroupsPolymersReferences
AlkenesPS-b-PI[44,45]
AmidesPVP[39]
Carboxylic acidsPAA[39]
EstersPS-b-PMMA
PCL
[25,33,34,35,36]
[40]
EpoxydesPS-b-PIO[44]
PyridinesPS-b-P2VP
PS-b-P4VP
[31,40]
[46,47]
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Cara, E.; Murataj, I.; Milano, G.; De Leo, N.; Boarino, L.; Ferrarese Lupi, F. Recent Advances in Sequential Infiltration Synthesis (SIS) of Block Copolymers (BCPs). Nanomaterials 2021, 11, 994. https://doi.org/10.3390/nano11040994

AMA Style

Cara E, Murataj I, Milano G, De Leo N, Boarino L, Ferrarese Lupi F. Recent Advances in Sequential Infiltration Synthesis (SIS) of Block Copolymers (BCPs). Nanomaterials. 2021; 11(4):994. https://doi.org/10.3390/nano11040994

Chicago/Turabian Style

Cara, Eleonora, Irdi Murataj, Gianluca Milano, Natascia De Leo, Luca Boarino, and Federico Ferrarese Lupi. 2021. "Recent Advances in Sequential Infiltration Synthesis (SIS) of Block Copolymers (BCPs)" Nanomaterials 11, no. 4: 994. https://doi.org/10.3390/nano11040994

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop