Ferroelectric Devices for Content-Addressable Memory
Abstract
:1. Introduction
2. Ferroelectric Materials and Devices
2.1. Ferroelectric Materials
2.2. Ferroelectric Materials Based on Hafnium Oxide
2.3. Ferroelectric Transistors
2.4. Ferroelectric Tunnel Junction
2.5. Ferroelectric Memristors
3. Content-Addressable Memory Based on Ferroelectric Devices
4. Conclusions
Author Contributions
Funding
Data Availability Statement
Conflicts of Interest
References
- Horowitz, M. Computing’s energy problem (and what we can do about it). In Proceedings of the 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, USA, 9–13 February 2014; pp. 10–14. [Google Scholar]
- Ting, T.-K.J.; Wang, G.-B.; Wang, M.-H.; Wu, C.-P.; Wang, C.-K.; Lo, C.-W.; Tien, L.-C.; Yuan, D.-M.; Hsieh, Y.-C.; Lai, J.-S.; et al. An 8-channel 4.5Gb 180GB/s 18ns-row-latency RAM for the last level cache. In Proceedings of the 2017 IEEE International Solid-State Circuits Conference, ISSCC 2017, San Francisco, CA, USA, 5– February 2017; pp. 404–405. [Google Scholar]
- Wong, H.-S.P.; Lee, H.; Yu, S.; Chen, Y.; Wu, Y.; Chen, P.; Lee, B.; Chen, F.T.; Tsai, M. Metal–oxide RRAM. Proc. IEEE 2012, 100, 1951–1970. [Google Scholar] [CrossRef]
- Jeloka, S.; Akesh, N.B.; Sylvester, D.; Blaauw, D. A 28 nm configurable memory (TCAM/BCAM/SRAM) using push-rule 6T bit cell enabling logic-in-memory. IEEE J. Solid-State Circuits 2016, 51, 1009–1021. [Google Scholar]
- Do, A.; Yin, C.; Velayudhan, K.; Lee, Z.C.; Yeo, K.S.; Kim, T.T.-H. 0.77 fJ/bit/search content addressable memory using small match line swing and automated background checking scheme for variation tolerance. IEEE J. Solid-State Circuits 2014, 49, 1487–1498. [Google Scholar] [CrossRef]
- Chang, M.-F.; Huang, L.-Y.; Lin, W.-Z.; Chiang, Y.-N.; Kuo, C.-C.; Chuang, C.-C.; Yang, K.-H.; Tsai, H.-J.; Chen, T.-F.; Sheu, S.-S. A ReRAM-based 4T2R nonvolatile TCAM using RC-filtered stress-decoupled scheme for frequent-OFF instant-ON search engines used in IoT and big-data processing. IEEE J. Solid-State Circuits 2016, 51, 2786–2798. [Google Scholar]
- Ly, D.R.B.; Giraud, B.; Noel, J.-P.; Grossi, A.; Castellani, N.; Sassine, G.; Nodin, J.-F.; Molas, G.; Fenouillet-Beranger, C.; Indiveri, G.; et al. In-depth characterization of resistive memory-based ternary content addressable memories. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 1–4. [Google Scholar]
- Chang, M.-F.; Lin, C.-C.; Lee, A.; Chiang, Y.-N.; Kuo, C.-C.; Yang, G.-H.; Tsai, H.-J.; Chen, T.-F.; Sheu, S.-S. A 3T1R nonvolatile TCAM using MLC ReRAM for frequent-off instant-on filters in IoT and big-data processing. IEEE J. Solid-State Circuits 2017, 52, 1664–1679. [Google Scholar] [CrossRef]
- Yin, S.; Jiang, Z.; Seo, J.-S.; Seok, M. XNOR-SRAM: In-memory computing SRAM macro for binary/ternary deep neural networks. IEEE J. Solid-State Circuits 2020, 55, 1733–1743. [Google Scholar] [CrossRef]
- Yu, C.; Yoo, T.; Kim, T.T.-H.; Chuan, K.C.T.; Kim, B. A16K current-based 8T SRAM compute-in-memory macro with decoupled read/write and 1–5bit column ADC. In Proceedings of the 2020 IEEE Custom Integrated Circuits Conference (CICC), Boston, MA, USA, 22–25 March 2020; pp. 1–4. [Google Scholar]
- Yoo, T.; Kim, H.; Chen, Q.; Kim, T.T.-H.; Kim, B. A logic compatible 4T dual embedded DRAM array for in-memory computation of deep neural networks. In Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), Lausanne, Switzerland, 29–31 July 2019; pp. 1–6. [Google Scholar]
- Chen, W.-H.; Li, K.-X.; Lin, W.-Y.; Hsu, K.-H.; Li, P.-Y.; Yang, C.-H.; Xue, C.-X.; Yang, E.-Y.; Chen, Y.-K.; Chang, Y.-S.; et al. A 65 nm 1 Mb nonvolatile computing-in-memory ReRAM macro with sub-16ns multiply-and-accumulate for binary DNN AI edge processors. In Proceedings of the 2018 IEEE International Solid—State Circuits Conference—(ISSCC), San Francisco, CA, USA, 11–15 February 2018; pp. 494–495. [Google Scholar]
- Zha, Y.; Nowak, E.; Li, J. Liquid silicon: A nonvolatile fully programmable processing-in-memory processor with monolithically integrated ReRAM. IEEE J. Solid-State Circuits 2019, 55, 206–207. [Google Scholar] [CrossRef]
- Kohonen, T. Content-Addressable Memories, 2nd ed.; Springer: New York, NY, USA, 1987. [Google Scholar]
- Chisvin, L.; Duckworth, R.J. Content-addressable and associative memory: Alternatives to the ubiquitous RAM. IEEE Comput. 1989, 22, 51–64. [Google Scholar] [CrossRef]
- Grosspietsch, K.E. Associative processors and memories: A survey. IEEE Micro 1992, 12, 12–19. [Google Scholar] [CrossRef]
- Robinson, I.N. Pattern-addressable memory. IEEE Micro 1992, 12, 20–30. [Google Scholar] [CrossRef]
- Sivakumar, S.A.; Swedha, A.; Naveen, R. Survey of Content Addressable Memory. Int. J. Creat. Res. Thoughts (IJCRT) 2018, 6, 1516–1526. Available online: https://www.researchgate.net/publication/346646877_Survey_of_Content_Addressable_Memory (accessed on 10 December 2022).
- Pei, T.-B.; Zukowski, C. VLSI implementation of routing tables: Tries and CAMs. Proc. IEEE Infocom. 1991, 2, 515–524. [Google Scholar]
- Pei, T.-B.; Zukowski, C. Putting routing tables in silicon. IEEE Network Mag. 1992, 6, 42–50. [Google Scholar] [CrossRef]
- McAuley, A.J.; Francis, P. Fast routing table lookup using CAMs. Proc. IEEE Infocom. 1993, 3, 1282–1391. [Google Scholar]
- Huang, N.-F.; Chen, W.-E.; Luo, J.-Y.; Chen, J.-M. Design of multi-field IPv6 packet classifiers using ternary CAMs. Proc. IEEE Globecom. 2001, 3, 1877–1881. [Google Scholar]
- Qin, G.; Ata, S.; Oka, I.; Fujiwara, C. Effective bit selection methods for improving performance of packet classifications on IP routers. Proc. IEEE Globecom. 2002, 2, 2350–2354. [Google Scholar]
- Kim, H.; Cho, M.; Lee, S.; Kwon, H.S.; Choi, W.Y.; Kim, Y. Content-Addressable Memory System Using a Nanoelectromechanical Memory Switch. Electronics 2022, 11, 481. [Google Scholar] [CrossRef]
- Pagiamtzis, K.; Sheikholeslami, A. Content-addressable memory (CAM) circuits and architectures: A tutorial and survey. IEEE J. Solid-State Circuits 2006, 41, 712–727. [Google Scholar] [CrossRef]
- Ni, K.; Yin, X.; Laguna, A.F.; Joshi, S.; Dünkel, S.; Trentzsch, M.; Müller, J.; Beyer, S.; Niemier, M.; Hu, X.S.; et al. Ferroelectric ternary content-addressable memory for one-shot learning. Nat. Electron 2019, 2, 521–529. [Google Scholar] [CrossRef]
- Khosla, R.; Sharma, S. Integration of Ferroelectric Materials: An Ultimate Solution for Next2 Generation Computing and Storage Devices. ACS Appl. Electron Mater. 2021, 3, 2862–2897. [Google Scholar] [CrossRef]
- Wang, D.; Zhang, Y.; Wang, J.; Luo, C.; Li, M.; Shuai, W.; Tao, R.; Fan, Z.; Chen, D.; Zeng, M.; et al. Enhanced ferroelectric polarization with less wake-up effect and improved endurance of Hf0.5Zr0.5O2 thin films by implementing W electrode. J. Mater. Scie. Technol. 2022, 104, 1. [Google Scholar] [CrossRef]
- Shen, Y.; Zhang, Z.; Zhang, Q.; Wei, F.; Yin, H.; Wei, Q.; Men, K. A Gd-doped HfO2 single film for a charge trapping memory device with a large memory window under a low voltage. RSC Adv. 2020, 10, 7812–7816. [Google Scholar] [CrossRef] [Green Version]
- Mikolajick, T.; Schroeder, U.; Slesazeck, S. The past, the present, and the future of ferroelectric memories. IEEE Trans. Electron Devices 2020, 67, 1434–1443. [Google Scholar] [CrossRef]
- Mitsui, T. Ferroelectrics and Antiferroelectrics; Springer Handbook of Condensed Matter and Materials Data; Martienssen, W., Warlimont, H., Eds.; Springer: Berlin/Heidelberg, Germany, 2005; pp. 903–938. [Google Scholar]
- Xu, Y. Ferroelectric Materials and Their Applications; Elsevier: Amsterdam, The Netherlands, 1991. [Google Scholar]
- Trolier-McKinstry, S. Impact of ferroelectricity. Am. Ceram. Soc. Bull. 2020, 99, 22–23. [Google Scholar]
- Mikolajick, T.; Slesazeck, S.; Mulaosmanovic, H.; Park, M.H.; Fichtner, S.; Lomenzo, P.D.; Hoffmann, M.; Schroeder, U. Next generation ferroelectric materials for semiconductor process integration and their applications. J. Appl. Phys. 2021, 129, 100901. [Google Scholar] [CrossRef]
- Valasek, J. Piezo-electric and allied phenomena in Rochelle salt. Phys. Rev. 1921, 17, 475–481. [Google Scholar] [CrossRef]
- Fousek, J. Joseph Valasek and the discovery of ferroelectricity. In Proceedings of the 1994 IEEE International Symposium on Applications of Ferroelectrics, University Park, PA, USA, 7–10 August 1994; pp. 1–5. [Google Scholar]
- Busch, G.; Scherrer, P. Eine neue seignette-elektrische substanz. Naturwissenschaften 1935, 23, 737. [Google Scholar] [CrossRef]
- Thurnaurer, H.; Deaderick, J. Insulating Material. U.S. Patent 2,429,588, 21 October 1947. [Google Scholar]
- von Hippel, A.; Breckenbridge, R.G.; Chesley, F.G.; Tisza, L. High dielectric constant ceramics. Ind. Eng. Chem. 1946, 38, 1097–1109. [Google Scholar] [CrossRef]
- Wul, B.; Goldman, J.M. Ferroelectric switching in BaTiO3 ceramics. C.R. Acad. Sci. URSS 1946, 51, 21. [Google Scholar]
- Buck, D.A. Ferroelectrics for Digital Information Storage and Switching. Ph.D. Thesis, Digital Computer Laboratory, Massachusetts Institute of Technology, Cambridge, MA, USA, 5 June 1952. [Google Scholar]
- Anderson, J.R. Ferroelectric materials as storage elements for digital computers and switching systems. Trans. Am. Inst. Electr. Eng. 1953, 71, 395–401. [Google Scholar] [CrossRef]
- Merz, J.; Anderson, J. Ferroelectric storage devices. Bell Lab Records 1955, 33, 335–342. [Google Scholar]
- Sawa, A.; Fujii, T.; Kawasaki, M.; Tokura, Y. Interface transport properties and resistance switching in perovskite oxide heterojunctions. In Strongly Correlated Electron Materials: Physics and Nanoengineering; Bozovic, I., Pavuna, D., Eds.; SPIE Digital Library: San Diego, CA, USA, 2005; Volume 5932, p. 59322C. [Google Scholar]
- Waser, R.; Dittmann, R.; Staikov, G.; Szot, K. Redox-based resistive switching memories–nanoionic mechanisms, prospects, and challenges. Adv. Mater. 2009, 21, 2632–2633. [Google Scholar] [CrossRef]
- Bersuker, G.; Gilmer, D.C. Advances in Non-Volatile Memory and Storage Technology; Nishi, Y., Ed.; Woodhead Publishing: Cambridge, UK, 2014; p. 288. [Google Scholar]
- Akinaga, H.; Shima, H. Resistive Random Access Memory (ReRAM) based on metal oxides. Proc IEEE 2010, 98, 2237–2251. [Google Scholar] [CrossRef]
- Bersuker, G.; Gilmer, D.C.; Veksler, D.; Kirsch, P.; Vandelli, L.; Padovani, A.; Larcher, L.; McKenna, K.; Shluger, A.; Iglesias, V.; et al. Metal oxide resistive memory switching mechanism based on conductive filament properties. J. Appl. Phys. 2011, 110, 124518. [Google Scholar] [CrossRef]
- Privitera, S.; Bersuker, G.; Lombardo, S.; Bongiorno, C.; Gilmer, D.C. Conductive filament structure in HfO2 resistive switching memory devices. Solid-State Electron. 2015, 111, 161–165. [Google Scholar] [CrossRef]
- Shirane, G.; Takeda, A. Phase transitions in solid solutions of PbZrO3 and PbTiO3 (I) small concentrations of PbTiO3. J. Phys. Soc. Jpn. 1952, 7, 5–11. [Google Scholar] [CrossRef]
- Shirane, G.; Suzuki, K.; Takeda, A. Phase transitions in solid solutions of lead zirconate and lead titanate: II. J. Phys. Soc. Jpn. 1952, 7, 12–18. [Google Scholar] [CrossRef]
- Ross, I. Semiconductive Translating Device. U.S. Patent 2791760A, 7 May 1957. Available online: https://insight.rpxcorp.com/patent/US2791760A (accessed on 10 December 2022).
- Ma, T.P.; Han, J.-P. Why is nonvolatile ferroelectric memory field-effect transistor still elusive? IEEE Electron Device Lett. 2002, 23, 386–388. [Google Scholar] [CrossRef]
- Bondurant, D. Ferroelectronic RAM memory family for critical data storage. Ferroelectrics 1990, 112, 273–282. [Google Scholar] [CrossRef]
- De Araujo, C.A.-P.; Cuchiaro, J.D.; McMillan, L.D.; Scott, M.C.; Scott, J.F. Fatigue-free ferroelectric capacitors with platinum electrodes. Nature 1995, 374, 627–629. [Google Scholar] [CrossRef]
- Desu, S.B. Minimization of fatigue in ferroelectric films. Phys. Status Solidi A 1995, 151, 467–480. [Google Scholar] [CrossRef]
- Pinnow, C.-U.; Mikolajick, T. Material aspects in emerging nonvolatile memories. J. Electrochem. Soc. 2004, 151, K13–K19. [Google Scholar] [CrossRef]
- McAdams, H.; Acklin, R.; Blake, T.; Du, X.-H.; Eliason, J.; Fong, J.; Kraus, W.; Liu, D.; Madan, S.; Moise, T.; et al. A 64-Mb embedded FRAM utilizing a 130-nm 5LM Cu/FSG logic process. IEEE J. Solid-State Circuits 2004, 39, 667–677. [Google Scholar] [CrossRef]
- Koo, J.-M.; Lim, J.-E.; Yoo, D.-C.; Park, S.-O.; Kim, H.-S.; Han, H.; Baik, S.; Choi, J.-Y.; Park, Y.J.; Park, Y.; et al. Fabrication of 3D trench PZT capacitors for 256Mbit FRAM device application. In Proceedings of the IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest, Washington, DC, USA, 5 December 2005; pp. 340–343. [Google Scholar] [CrossRef]
- Sakai, S.; Ilangovan, R. Metal-ferroelectric-insulator-semiconductor memory FET with long retention and high endurance. IEEE Electron Device Lett. 2004, 25, 369–371. [Google Scholar] [CrossRef]
- Esaki, L.; Laibowitz, R.B.; Stiles, P.J. Polar switch. IBM Tech. Discl. Bull. 1971, 13, 2161. [Google Scholar]
- Tsymbal, E.Y.; Kohlstedt, H. Tunneling across a ferroelectric. Science 2006, 313, 181–183. [Google Scholar] [CrossRef]
- Gruverman, A.; Wu, D.; Lu, H.; Wang, Y.; Jang, H.W.; Folkman, C.M.; Zhuravlev, M.Y.; Felker, D.; Rzchowski, M.; Eom, C.-B.; et al. Tunneling electroresistance effect in ferroelectric tunnel junctions at the nanoscale. Nano Lett. 2009, 9, 3539–3543. [Google Scholar] [CrossRef] [Green Version]
- Tsukazaki, A. A platform for making and transferring oxide films. Nature 2020, 578, 41–42. [Google Scholar] [CrossRef] [Green Version]
- Kum, H.S.; Lee, H.; Kim, S.; Lindemann, S.; Kong, W.; Qiao, K.; Chen, P.; Irwin, J.; Lee, J.H.; Xie, S.; et al. Heterogeneous integration of single-crystalline complex-oxide membranes. Nature 2020, 578, 75–81. [Google Scholar] [CrossRef]
- Böscke, T.S.; Müller, J.; Bräuhaus, D.; Schröder, U.; Böttger, U. Ferroelectricity in hafnium oxide: CMOS compatible ferroelectric field effect transistors. Int. Electron Devices Meet. 2011, 24, 6131606. [Google Scholar] [CrossRef]
- Böscke, T.S.; Müller, J.; Bräuhaus, D.; Schröder, U.; Böttger, U. Ferroelectricity in hafnium oxide thin films. Appl. Phys. Lett. 2011, 99, 102903. [Google Scholar] [CrossRef]
- Bohr, M.; Chau, R.; Ghani, T.; Mistry, K. The high-k solution: Microprocessors entering production this year are the result of the biggest transistor redesign in 40 years. IEEE Spectr. 2007, 44, 29–35. [Google Scholar] [CrossRef]
- Fichtner, S.; Wolff, N.; Lofink, F.; Kienle, L.; Wagner, B. AlScN: A III-V semiconductor based ferroelectric. J. Appl. Phys. 2019, 125, 114103. [Google Scholar] [CrossRef]
- Osada, M.; Sasaki, T. The rise of 2D dielectrics/ferroelectrics. APL Mater. 2019, 7, 120902. [Google Scholar] [CrossRef] [Green Version]
- Guan, Z.; Hu, H.; Shen, X.; Xiang, P.; Zhong, N.; Chu, J.; Duan, C. Recent progress in two-dimensional ferroelectric materials. Adv. Electron Mater. 2020, 6, 1900818. [Google Scholar] [CrossRef]
- Müller, J.; Yurchuk, E.; Schlösser, T.; Paul, J.; Hoffmann, R.; Muller, S.; Martin, D.; Slesazeck, S.; Polakowski, P.; Sundqvist, J.; et al. Ferroelectricity in HfO2 enables nonvolatile data storage in 28 nm HKMG. In Proceedings of the 2012 Symposium on VLSI Technology (VLSIT), Honolulu, HI, USA, 12–14 June 2012; p. 25. [Google Scholar]
- Lee, D.H.; Park, G.H.; Kim, S.H.; Park, J.Y.; Yang, K.; Slesazeck, S.; Mikolajick, T.; Park, M.H. Neuromorphic devices based on fluorite-structured ferroelectrics. InfoMat. 2022, 4, e12380. [Google Scholar] [CrossRef]
- Müller, J.; Böscke, T.S.; Schroder, U.; Mueller, S.; Bräuhaus, D.; Böttger, U.; Frey, L.; Mikolajick, T. Ferroelectricity in Simple Binary ZrO2 and HfO2. Nano Lett. 2012, 12, 4318. [Google Scholar] [CrossRef]
- Materano, M.; Lomenzo, P.D.; Kersch, A.; Kersch, A.; Park, M.H.; Mikolajick, T.; Schroeder, U. Interplay between oxygen defects and dopants: Effect on structure and performance of HfO2-based ferroelectrics. Inorg. Chem. Front. 2021, 8, 2650. [Google Scholar] [CrossRef]
- Chen, H.; Zhou, X.; Tang, L.; Chang, Y.; Lu, H.; Yuan, X.; Bowen, C.R.; Zhang, D. HfO2-based ferroelectrics: From enhancing performance, material design, to applications. Appl. Phys. Rev. 2022, 9, 011307. [Google Scholar] [CrossRef]
- Migita, S.; Ota, H.; Shibuya, K.; Yamada, H.; Sawa, A.; Matsukawa, T.; Toriumi, A. Phase transformation behavior of ultrathin Hf0.5Zr0.5O2 films investigated through wide range annealing experiments. Jpn. J. Appl. Phys. 2019, 58, SBBA07. [Google Scholar] [CrossRef]
- Kim, H.J.; Park, M.H.; Kim, Y.J.; Lee, Y.H.; Jeon, W.; Gwon, T.; Moon, T.; Kim, K.D.; Hwang, C.S. Grain size engineering for ferroelectric Hf0.5Zr0.5O2 films by an insertion of Al2O3 interlayer. Appl. Phys. Lett. 2014, 105, 192903. [Google Scholar] [CrossRef]
- Riedel, S.; Polakowski, P.; Müller, J. A thermally robust and thickness independent ferroelectric phase in laminated hafnium zirconium oxide. AIP Adv. 2016, 6, 095123. [Google Scholar] [CrossRef] [Green Version]
- Lee, J.; Eom, D.; Lee, C.; Lee, W.; Oh, J.; Park, C.; Kim, J.; Lee, H.; Lee, S.; Lee, E.; et al. Sublayer thickness dependence of nanolaminated HfO2–Al2O3 films for ferroelectric phase stabilization. Appl. Phys. Lett. 2022, 120, 222902. [Google Scholar] [CrossRef]
- Popov, V.; Antonov, V.; Tikhonenko, F.; Tarkov, S.; Gutakovskii, A.; Tyschenko, I.; Miakonkikh, A.; Lomov, A.; Rogozhin, A.; Rudenko, K. Robust Semiconductor-on-Ferroelectric Structures with Hafnia-Zirconia-Alumina UTBOX Stacks Compatible with the CMOS Technology. J. Phys. D Appl. Phys. 2021, 54, 225101. [Google Scholar] [CrossRef]
- Popov, V.P.; Antonov, V.A.; Tyschenko, I.E.; Vdovin, V.I.; Gutakovskii, A.K.; Miakonkikh, A.V.; Rudenko, K.V. Hafnia and alumina stacks as UTBOXs in silicon-on insulator structures. Solid State Electron 2020, 168, 107734. [Google Scholar] [CrossRef]
- Popov, V.P.; Antonov, V.A.; Tikhonenko, F.V.; Myakonkikh, A.V.; Rudenko, K.V. Structure evolution and charge hysteresis in buried Hafnia-Alumina oxides. Solid State Electron. 2022, 86, 2023. [Google Scholar]
- Chesnokov, Y.M.; Miakonkikh, A.V.; Rogozhin, A.E.; Rudenko, K.V.; Vasiliev, A.L. Microstructure and electrical properties of thin HfO2 deposited by plasma-enhanced atomic layer deposition. J. Mater. Sci. 2018, 53, 7214–7223. [Google Scholar] [CrossRef]
- Okuno, J.; Kunihiro, T.; Konishi, K.; Shuto, Y.; Sugaya, F.; Materano, M.; Ali, T.; Lederer, M.; Kuehnel, K.; Seidel, K.; et al. Reliability Study of 1T1C FeRAM Arrays with Hf0.5Zr0.5O2 Thickness Scaling. IEEE J. Electron Devices Soc. 2022, 10, 778–783. [Google Scholar] [CrossRef]
- Francois, T.; Coignus, J.; Makosiej, A.; Giraud, B.; Carabasse, C.; Barbot, J.; Martin, S.; Castellani, N.; Magis, T.; Grampeix, H.; et al. High-Performance Operation and Solder Reflow Compatibility in BEOL-Integrated 16-kb HfO2: Si-Based 1T-1C FeRAM Arrays. IEEE Trans. Electron Dev. 2022, 69, 2108–2114. [Google Scholar] [CrossRef]
- Slesazeck, S.; Havel, V.; Breyer, E.; Mulaosmanovic, H.; Hoffmann, M.; Max, B.; Duenkel, S.; Mikolajick, T. Uniting the trinity of ferroelectric HfO2 memory devices in a single memory cell. In Proceedings of the 2019 IEEE 11th International Memory Workshop (IMW), Monterey, CA, USA, 12–15 May 2019; pp. 1–4. [Google Scholar] [CrossRef]
- Polakowski, P.; Riedel, S.; Weinreich, W.; Rudolf, M.; Sundqvist, J.; Seidel, K.; Muller, J. Ferroelectric deep trench capacitors based on Al:HfO2 for 3D nonvolatile memory applications. In Proceedings of the 2014 IEEE 6th International Memory Workshop (IMW), Taipei, Taiwan, 18–21 May 2014; pp. 1–4. [Google Scholar] [CrossRef]
- Ali, T.; Kühnel, K.; Olivo, R.; Lehninger, D.; Müller, F.; Lederer, M.; Rudolf, M.; Oehler, S.; Martens, K.; Hoffmann, R.; et al. Impact of the Ferroelectric Stack Lamination in Single Doped Hafnium Oxide (HSO) and Hafnium Zirconium Oxide (HZO) Based FeFETs: Toward High-Density Multi-Level Cell and Synaptic Storage. Electron Mater. 2021, 2, 344–369. [Google Scholar] [CrossRef]
- Choe, G.; Yu, S. Multigate Ferroelectric Transistor Design Toward 3-nm Technology Node. IEEE TED 2021, 68, 5908–5911. [Google Scholar] [CrossRef]
- Dünkel, S.; Trentzsch, M.; Richter, R.; Moll, P.; Fuchs, C.; Gehring, O.; Majer, M.; Wittek, S.; Müller, B.; Melde, T.; et al. A FeFET based super-low-power ultra-fast embedded NVM technology for 22nm FDSOI and beyond. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017. [Google Scholar]
- Tan, A.J.; Liao, Y.H.; Wang, L.C.; Shanker, N.; Bae, J.; Hu, C.; Salahuddin, S. Ferroelectric HfO2 Memory Transistors with High-κ Interfacial Layer and Write Endurance Exceeding 1010 Cycles. IEEE Electron Device Lett. 2021, 42, 994–997. [Google Scholar] [CrossRef]
- Liu, Y.S.; Su, P. Variability analysis for ferroelectric FET nonvolatile memories considering random ferroelectric-dielectric phase distribution. IEEE Electron Device Lett. 2020, 41, 369–372. [Google Scholar] [CrossRef]
- Sharma, A.; Roy, K. 1T non-volatile memory design using sub-10nm ferroelectric FETs. IEEE Electron Device Lett. 2018, 39, 359–362. [Google Scholar] [CrossRef]
- Mulaosmanovic, H.; Slesazeck, S.; Ocker, J.; Pesic, M.; Muller, S.; Flachowsky, S.; Muller, J.; Polakowski, P.; Paul, J.; Jansen, S.; et al. Evidence of single domain switching in hafnium oxide based FeFETs: Enabler for multi-level FeFET memory cells. In Proceedings of the 2015 IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 7–9 December 2015. [Google Scholar] [CrossRef]
- Mulaosmanovic, H.; Breyer, E.T.; Mikolajick, T.; Slesazeck, S. Ferroelectric FETs with 20-nm-thick HfO2 layer for large memory window and high performance. IEEE Trans. Electron Devices 2019, 66, 3828–3833. [Google Scholar] [CrossRef]
- Tarkov, M.; Leushin, A.; Tikhonenlo, F.; Tyschenko, I.; Antonov, V.; Popov, V.; Miakonkikh, A.; Rudenko, K. Logic Elements and Crossbar Architecture Based on SOI Two-Gate Ferroelectric Transistors. In Proceedings of the 2020 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS) 2020, Caen, France, 1–30 September 2020; pp. 1–4. [Google Scholar] [CrossRef]
- Popov, V.P.; Tikhonenko, F.V.; Antonov, V.A.; Tolmachev, K.A.; Lomov, A.A.; Miakonkikh, A.V.; Rudenko, K.V. Structure evolution and charge hysteresis in buried Hafnia-Alumina oxides. Solid State Electron. 2022, 194, 108348. [Google Scholar] [CrossRef]
- Sharma, A.A.; Doyle, B.; Yoo, H.J.; Tung, I.-C.; Kavalieros, J.; Metz, M.V.; Reshotko, M.; Majhi, P.; Brown-Heft, T.; Chen, Y.-J.; et al. High speed memory operation in channel-last, back-gated ferroelectric transistors. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020. [Google Scholar]
- Schenk, T.; Mueller, S. A new generation of memory devices enabled by ferroelectric hafnia and zirconia. In Proceedings of the 2021 IEEE International Symposium on Applications of Ferroelectrics (ISAF), Sydney, Australia, 16–21 May 2021. [Google Scholar]
- Pešić, M.; Padovani, A.; Rollo, T. Variability and disturb sources in ferroelectric 3D NANDs and comparison to Charge-Trap equivalent. In Proceedings of the 2022 IEEE International Memory Workshop (IMW), Dresden, Germany, 15–18 May 2022. [Google Scholar]
- Hsiang, K.-Y.; Liao, C.-Y.; Lin, Y.-Y.; Lou, Z.-F.; Lin, C.-Y.; Lee, J.-Y.; Chang, F.-S.; Li, Z.-X.; Tseng, H.-C.; Wang, C.-C.; et al. Correlation between Access Polarization and High Endurance (~1012 cycling) of Ferroelectric and AntiFerroelectric HfZrO2. In Proceedings of the 2022 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 27–31 March 2022. [Google Scholar]
- Soliman, T.; Müller, F.; Kirchner, T.; Hoffmann, T.; Ganem, h.; Karimov, E.; Ali, T.; Lederer, M.; Sudarshan, C.; Kampfe, T.; et al. Ultra-Low Power Flexible Precision Event Based Analog In-Memory Computing. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020. [Google Scholar]
- Chen, A. A review of emerging non-volatile memory (NVM) technologies and applications. Solid-State Electron. 2016, 125, 25–38. [Google Scholar] [CrossRef]
- Zhuravlev, M.; Sabirianov, R.F.; Jaswal, S.S.; Tsymbal, E.Y. Giant electroresistance in ferroelectric tunnel junctions. Phys. Rev. Lett. 2005, 94, 246802. [Google Scholar] [CrossRef] [Green Version]
- Kohlstedt, H.; Pertsev, N.A.; Contreras, J.R.; Waser, R. Theoretical current-voltage characteristics of ferroelectric tunnel junctions. Phys. Rev. B 2005, 72, 125341. [Google Scholar] [CrossRef] [Green Version]
- Yamada, H.; Tsurumaki-Fukuchi, A.; Kobayashi, M.; Nagai, T.; Toyosaki, Y.; Kumigashira, H.; Sawa, A. Strong Surface-Termination Effect on Electroresistance in Ferroelectric Tunnel Junctions. Adv. Funct. Mater. 2015, 25, 2708–2714. [Google Scholar] [CrossRef]
- Tsurumaki-Fukuchi, A.; Yamada, H.; Sawa, A. Resistive switching artificially induced in a dielectric/ferroelectric composite diode. Appl. Phys. Lett. 2013, 103, 152903. [Google Scholar] [CrossRef]
- Wen, Z.; Li, C.; Di Wu, D.; Li, A.; Ming, N. Ferroelectric-field-effect-enhanced electroresistance in metal/ferroelectric/semiconductor tunnel junctions. Nat. Mater. 2013, 12, 617–621. [Google Scholar] [CrossRef] [PubMed] [Green Version]
- Tsymbal, E.Y.; Gruverman, A. Ferroelectric tunnel junctions: Beyond the barrier. Nat. Mater. Nat. Publ. Group 2013, 12, 602–604. [Google Scholar] [CrossRef] [PubMed]
- Tagantsev, A.K.; Stolichnov, I.; Setter, N.; Cross, J.S. Nature of nonlinear imprint in ferroelectric films and long-term prediction of polarization loss in ferroelectric memories. J. Appl. Phys. 2004, 96, 6616–6623. [Google Scholar] [CrossRef]
- Yoon, S.-J.; Na, S.-Y.; Moon, S.-E.; Yoon, S.-M. Polarization switching kinetics of the ferroelectric Al-doped HfO 2 thin films prepared by atomic layer deposition with different ozone doses. J. Vac. Sci. Technol. B 2019, 37, 050601. [Google Scholar] [CrossRef]
- Starschich, S.; Menzel, S.; Böttger, U. Evidence for oxygen vacancies movement during wake-up in ferroelectric hafnium oxide. Appl. Phys. Lett. 2016, 108, 032903. [Google Scholar] [CrossRef] [Green Version]
- Jo, S.H.; Chang, T.; Ebong, I.; Bhadviya, B.B.; Mazumder, P.; Lu, W. Nanoscale memristor device as synapse in neuromorphic systems. Nano Lett. 2010, 10, 1297–1301. [Google Scholar] [CrossRef]
- Yu, S.; Wu, Y.; Jeyasingh, R.; Kuzum, D.; Wong, H.-S.P. An electronic synapse device based on metal oxide resistive switching memory for neuromorphic computation. IEEE Trans. Electron Devices 2011, 58, 2729–2737. [Google Scholar] [CrossRef]
- Wang, Z.; Xu, H.Y.; Li, X.H.; Yu, H.; Liu, Y.C.; Zhu, X.J. Synaptic learning and memory functions achieved using oxygen ion migration/diffusion in an amorphous InGaZnO memristor. Adv. Funct. Mater. 2012, 22, 2759–2765. [Google Scholar] [CrossRef]
- Krzysteczko, P.; Münchenberger, J.; Schäfers, M.; Reiss, G.; Thomas, A. The memristive magnetic tunnel junction as a nanoscopic synapse-neuron system. Adv. Mater. 2012, 24, 762–766. [Google Scholar] [CrossRef] [PubMed]
- Kim, S.; Du, C.; Sheridan, P.; Ma, W.; Choi, S.; Lu, W.D. Experimental demonstration of a second-order memristor and its ability to biorealistically implement synaptic plasticity. Nano Lett. 2015, 15, 2203–2211. [Google Scholar] [CrossRef] [PubMed]
- Du, C.; Ma, W.; Chang, T.; Sheridan, P.; Lu, W.D. Biorealistic Implementation of Synaptic Functions with Oxide Memristors through Internal Ionic Dynamics. Adv. Funct. Mater. 2015, 25, 4290–4299. [Google Scholar] [CrossRef]
- Wang, Z.; Joshi, S.; Savel’Ev, S.E.; Jiang, H.; Midya, R.; Lin, P.; Hu, M.; Ge, N.; Strachan, J.P.; Li, Z.; et al. Memristors with diffusive dynamics as synaptic emulators for neuromorphic computing. Nat. Mater. 2017, 16, 101–108. [Google Scholar] [CrossRef] [PubMed] [Green Version]
- Chanthbouala, A.; Garcia, V.; Cherifi, R.O.; Bouzehouane, K.; Fusil, S.; Moya, X.; Xavier, S.; Yamada, H.; Deranlot, C.; Mathur, N.D.; et al. A ferroelectric memristor. Nat. Mater. 2012, 11, 860. [Google Scholar] [CrossRef] [Green Version]
- Nicholls, J.G. From Neuron to Brain, 5th ed.; Sinauer Associates, Inc.: Sunderland, MA, USA, 2011; 621p. [Google Scholar]
- Kim, D.J.; Lu, H.; Ryu, S.; Bark, C.W.; Eom, C.-B.; Tsymbal, E.; Gruverman, A. Ferroelectric tunnel memristor. Nano Lett. 2012, 12, 5697–5702. [Google Scholar] [CrossRef]
- Goh, Y.; Jeon, S. Enhanced tunneling electroresistance effects in HfZrO-based ferroelectric tunnel junctions by high-pressure nitrogen annealing. Appl. Phys. Lett. 2018, 113, 052905. [Google Scholar] [CrossRef]
- Mikheev, V.; Chouprik, A.; Lebedinskii, Y.; Zarubin, S.; Matveyev, Y.; Kondratyuk, E.; Kozodaev, M.G.; Markeev, A.M.; Zenkevich, A.; Negrov, D. Ferroelectric Second-Order Memristor. ACS Appl. Mater. Interfaces 2019, 11, 32108–32114. [Google Scholar] [CrossRef]
- Chen, Y.; Lu, L.; Kim, B.; Kim, T.T.-H. A Reconfigurable 4T2R ReRAM Computing In-Memory Macro for Efficient Edge Applications. IEEE Open J. Circuits Syst. 2021, 2, 210–222. [Google Scholar] [CrossRef]
- Nithya, N.; Paramasivam, K. A Comprehensive Study on the Characteristics, Complex Materials and Applications of Memristor. IEEE Open J. Circuits Syst. 2020, 2, 171–176. [Google Scholar] [CrossRef]
- Wang, L.; Yang, C.H.; Wen, J.; Gai, S.; Peng, Y.X. Overview of emerging memristor families from resistive memristor to spintronic memristor. J. Mater. Sci. Mater. Electron 2015, 26, 4618–4628. [Google Scholar] [CrossRef] [Green Version]
- Tarkov, M.S. Crossbar-Based Hamming Associative Memory with Binary Memristors. Adv. Neural Netw. 2018, 10878, 380–387. [Google Scholar]
- Kazemi, A.; Sharifi, M.M.; Laguna, A.F.; Muller, F.; Rajaei, R.; Olivo, R.; Kampfe, T.; Niemier, M.; Hu, X.S. In-Memory Nearest Neighbor Search with FeFET Multi-Bit Content-Addressable Memories. In Proceedings of the 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), Grenoble, France, 1–5 February 2021; pp. 1084–1089. [Google Scholar] [CrossRef]
- Zhang, H.; Cao, S.; Yang, H.; Li, X. Dynamic Ternary Content-Addressable Memory Is Indeed Promising: Design and Benchmarking Using Nanoelectromechanical Relays. arXiv 2021, arXiv:2101.06375. [Google Scholar]
- Khan, A.I.; Yeung, C.W.; Hu, C.; Salahuddin, S. Ferroelectric negative capacitance MOSFET: Capacitance tuning antiferroelectric operation. In Proceedings of the 2011 International Electron Devices Meeting, Washington, DC, USA, 5–7 December 2011. [Google Scholar]
- George, S.; Ma, K.; Aziz, A.; Li, X.; Khan, A.; Salahuddin, S.; Chang, M.-F.; Datta, S.; Sampson, J.; Gupta, S.; et al. Nonvolatile Memory Design Based on Ferroelectric FETs. In Proceedings of the 2016 53nd DAC, Austin, TX, USA, 5–9 June 2016; pp. 1–6. [Google Scholar]
- Ni, K.; Sharma, P.; Zhang, J.; Jerry, M.; Smith, J.A.; Tapily, K.; Clark, R.; Mahapatra, S.; Datta, S. Critical Role of Interlayer in Hf0.5Zr0.5O2 Ferroelectric FET Nonvolatile Memory Performance. IEEE Trans. Electron Devices 2018, 65, 2461–2469. [Google Scholar] [CrossRef]
- Xiu, N.; Chen, Y.; Yin, G.; Ma, X.; Yang, H.; George, S.; Li, X. Capacitive Content-Addressable Memory: A Highly Reliable and Scalable Approach to Energy-Efficient Parallel Pattern Matching Applications. In Proceedings of the GLSVLSI’ 21: 2021 on Great Lakes Symposium on VLSI, Orange County, CA, USA, 6–8 June 2021; pp. 479–484. [Google Scholar] [CrossRef]
- Yin, X.; Reis, D.; Niemier, M.; Hu, X.S. Ferroelectric FET Based TCAM Designs for Energy Efficient Computing. In Proceedings of the 2019 ISVLSI, Miami, FL, USA, 15–17 July 2019; pp. 437–442. [Google Scholar]
- Brooks, D.; Frank, M.M.; Gokmen, T.; Gupta, U.; Hu, X.S.; Jain, S.; Laguna, A.F.; Niemier, M.; O’Connor, I.; Raghunathan, A.; et al. Emerging Neural Workloads and Their Impact on Hardware. In Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), Grenoble, France, 9–13 March 2020; pp. 1462–1471. [Google Scholar] [CrossRef]
- Tarkov, M.S. Two-Gate FeFET SPICE Model and Its Application to Construction of Adaptive Adder. In Proceedings of the 2021 Ural Symposium on Biomedical Engineering, Radioelectronics and Information Technology (USBEREIT), Yekaterinburg, Russia, 13–14 May 2021; p. 502. [Google Scholar] [CrossRef]
- Tarkov, M.S. SPICE Model of Analog Content-Addressable Memory Based on 2G FeFET Crossbar. In Advances in Neural Computation, Machine Learning, and Cognitive Research VI. Neuroinformatics 2022; Studies in Computational Intelligence; Springer: Cham, Switzerland, 2023; Volume 1064, pp. 271–276. [Google Scholar] [CrossRef]
- Lim, S.; Goh, Y.; Lee, Y.K.; Ko, D.H.; Hwang, J.; Kim, M.; Jeong, Y.; Shin, H.; Jeon, S.; Jung, S.-O. A Highly Integrated Crosspoint Array Using Self-rectifying FTJ for Dual-mode Operations: CAM and PUF. In Proceedings of the ESSCIRC 2022-IEEE 48th European Solid State Circuits Conference (ESSCIRC), Milan, Italy, 19–22 September 2022; pp. 113–116. [Google Scholar] [CrossRef]
Ferroelectrics | Pb(Zr,Ti)O3 | SrBi2Ta2O9 | BiFeO3 | Doped HfO2 HfxZr1−xO2 | AlxSc1−xN8 |
---|---|---|---|---|---|
Pr (μC/cm2) | 10–40 | 5–10 | 90–95 (along [111]) | 10–40 | 80–110 |
Ec (kV/cm) | 50–70 | 30–50 | 100–1500 | 800–2000 | 2000–5000 |
ε0 | ∼400 | ∼200 | ∼50 | ∼30 | ∼25 |
Endurance (cycles) | >1 × 1015 on oxide electrode | Good on Pt electrode | Good on oxide electrode | >1 × 1011 on TiN | >1 × 105 a |
Min. physical thickness (nm) | 50 | <5 | <50 a | ||
Crystallization temperature (°C) | Low | High | Low | 400–800 | 300–400 |
Curie temperature (°C) | ∼400 | ∼400 | ∼700 | 0–500 | >600 |
Monoclinic | Orthorhombic | Tetragonal | |
---|---|---|---|
Crystal structure | |||
Property | Dielectric | Ferroelectric | Dielectric |
Polarization | 0 | Pr ~ 50–60 μC cm−2 | 0 |
Dielectric constant | 19–25 | 24–29 | 24–57 |
Space group | P21/c | Pca21 | P42/nmc |
16T CMOS | 2T-2R | 2FeFET | |
---|---|---|---|
Cell area (μm2) | 1.12 | 0.28 | 0.15 |
Write energy (fJ per bit) | 4.8 | 720 | 1.4 |
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations. |
© 2022 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Tarkov, M.; Tikhonenko, F.; Popov, V.; Antonov, V.; Miakonkikh, A.; Rudenko, K. Ferroelectric Devices for Content-Addressable Memory. Nanomaterials 2022, 12, 4488. https://doi.org/10.3390/nano12244488
Tarkov M, Tikhonenko F, Popov V, Antonov V, Miakonkikh A, Rudenko K. Ferroelectric Devices for Content-Addressable Memory. Nanomaterials. 2022; 12(24):4488. https://doi.org/10.3390/nano12244488
Chicago/Turabian StyleTarkov, Mikhail, Fedor Tikhonenko, Vladimir Popov, Valentin Antonov, Andrey Miakonkikh, and Konstantin Rudenko. 2022. "Ferroelectric Devices for Content-Addressable Memory" Nanomaterials 12, no. 24: 4488. https://doi.org/10.3390/nano12244488