Next Article in Journal
Effect of Sulfur Modification on Structural and Electrochemical Performance of Pitch-Based Carbon Materials for Lithium/Sodium Ion Batteries
Previous Article in Journal
Optimum Design of InGaN Blue Laser Diodes with Indium-Tin-Oxide and Dielectric Cladding Layers
Previous Article in Special Issue
Analysis of 3D Channel Current Noise in Small Nanoscale MOSFETs Using Monte Carlo Simulation
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Two-Dimensional Semiconductors for State-of-the-Art Complementary Field-Effect Transistors and Integrated Circuits

School of Microelectronics, South China University of Technology, Guangzhou 511442, China
*
Authors to whom correspondence should be addressed.
Nanomaterials 2024, 14(17), 1408; https://doi.org/10.3390/nano14171408
Submission received: 31 July 2024 / Revised: 23 August 2024 / Accepted: 26 August 2024 / Published: 28 August 2024
(This article belongs to the Special Issue Integrated Circuit Research for Nanoscale Field-Effect Transistors)

Abstract

:
As the trajectory of transistor scaling defined by Moore’s law encounters challenges, the paradigm of ever-evolving integrated circuit technology shifts to explore unconventional materials and architectures to sustain progress. Two-dimensional (2D) semiconductors, characterized by their atomic-scale thickness and exceptional electronic properties, have emerged as a beacon of promise in this quest for the continued advancement of field-effect transistor (FET) technology. The energy-efficient complementary circuit integration necessitates strategic engineering of both n-channel and p-channel 2D FETs to achieve symmetrical high performance. This intricate process mandates the realization of demanding device characteristics, including low contact resistance, precisely controlled doping schemes, high mobility, and seamless incorporation of high- κ dielectrics. Furthermore, the uniform growth of wafer-scale 2D film is imperative to mitigate defect density, minimize device-to-device variation, and establish pristine interfaces within the integrated circuits. This review examines the latest breakthroughs with a focus on the preparation of 2D channel materials and device engineering in advanced FET structures. It also extensively summarizes critical aspects such as the scalability and compatibility of 2D FET devices with existing manufacturing technologies, elucidating the synergistic relationships crucial for realizing efficient and high-performance 2D FETs. These findings extend to potential integrated circuit applications in diverse functionalities.

1. Introduction

Semiconductor technology evolve relentlessly in pursuit of smaller and faster devices with higher energy efficiency through successive generations. However, as silicon-based transistors approach their physical limits, the search for alternative materials and novel device architectures has intensified [1,2]. Among the most promising candidates, two-dimensional (2D) materials have emerged to offer a revolutionary approach to addressing the challenges of transistor scaling and advancing beyond Moore’s law [3,4,5,6].
The first discovery of graphene, which shows exceptional high mobility and mechanical flexibility in a single layer of atoms, has ignited interest in exploring 2D materials for semiconductor technology and marked a paradigm shift in transistor and integrated circuit technology [7]. Ever since, 2D transition-metal dichalcogenides (TMDC) such as MoS2 and WSe2, black phosphorus (BP), and InSe, among other 2D semiconductors with a tunable bandgap, have emerged to exhibit diverse semiconductor properties [8,9,10,11]. The extraordinary high mobility in the ultrathin 2D layers not only facilitates superior electrostatic control but also reduces short-channel effects and enhances device performance including switching speed and power efficiency [12,13,14,15]. Moreover, the ability to stack different 2D layers atom-by-atom opens up possibilities for building complex heterostructures with tailored electronic properties, paving the way for multifunctional devices and heterogeneous integrated circuits beyond conventional silicon technology [16,17,18,19,20].
The use of 2D semiconductors in transistors pushes the boundaries of device miniaturization. Emerging gate-all-around FETs (GAAFETs) and complementary FETs (CFETs) exploit the unique properties of 2D materials to achieve unprecedented performance milestones in the international roadmap for device and systems (IRDS) [21,22,23,24,25]. It also encompasses a reimagining of field-effect transistor (FET) design paradigms, as various transistor architecture leverage 2D materials for enhanced scalability and to address compatibility problems [26,27,28]. As shown in Figure 1, the realization of the 2D material-based integrated circuits envisioned by IRDS necessitates large-area and defect-free 2D film growth technology, high-performance device manufacturing technology, and large-scale circuit design and integration technology.
Techniques such as chemical vapor deposition (CVD) and metal–organic chemical vapor deposition (MOCVD) have facilitated the wafer-scale growth of monolayer 2D TMDC with excellent control over the crystallinity [29,30]. These advancements are bridging the gap between prototype devices and industrial integrated circuits. Concurrently, doping strategies and defect control techniques offer versatile approaches to manifest the electronic performance of 2D devices, providing a feasible process to integrate logic circuits, driver circuits, and compute-in-memory circuits [28,31,32].
The complementary integration of 2D material-based FETs (2D FETs) into large-scale functional circuits is highly desirable. However, the fabrication of high-performance n-channel and p-channel 2D FETs remains challenging. While several works have leveraged the layered structure of 2D materials and van der Waals (vdW) assembly techniques to demonstrate high performance n-channel MoS2 FET prototype devices with ultralow contact resistance, the fabrication of low-resistance p-type contacts remains difficult [33,34]. As a result, p-channel silicon (Si) and WSe2 FETs are considered to pair with n-channel MoS2 FETs for complementary circuit integration [26,35]. Meanwhile, several high-κ 2D insulators have been discovered as gate dielectric in recent years, providing a clean interface and promoting high carrier mobility [36,37].
This review comprehensively discusses the challenges and recent advancements of 2D semiconductor technology, encompassing the spectrum from material preparation to large-scale circuit integration. Several milestones in the roadmap of 2D material preparation, transistor fabrication, and circuit integration are summarized in Figure 2. By consolidating insights from recent experimental studies, theoretical models, and technological innovations, this review highlights state-of-the-art 2D material synthesis technologies, novel transistor structures, device performance breakthroughs, and advancements in large-scale circuit integration. With an updated understanding of 2D semiconductor technology, this review addresses the remaining challenges and potential solutions suggested by recent technological advances in high-quality 2D channel preparation, high-performance 2D FETs, and monolithic three-dimensional integrated circuits.

2. Two-Dimensional Semiconductor Materials for Transistor Technology

High mobility and a large bandgap are essential to achieve high-speed and low-leakage FET characteristics. For most 2D semiconductors, their band structures, tunable by the layer thickness and atomic compositions, affects electronic and optical properties, such as carrier mobility, density of states, and absorption. Consequently, the selection of 2D semiconductors and their combination heterostructures becomes crucial for designing devices with specific performance requirements.
Understanding the basic band alignment is essential when designing 2D transistors to achieve a specific polarity and low contact resistance. The band alignment of several of the most reported 2D semiconductor materials, such as Si, and popular elemental metals have been summarized in Figure 3a, respectively. For instance, a single layer of MoS2 has a hexagonal lattice, with its conduction band’s bottom and valence band’s top located at about 4.3 eV and 6.1 eV below the vacuum level, respectively, naturally forming n-type contacts with most elemental metals. In contrast, WSe2 has its valence band’s top near 5.2 eV below the vacuum level, which tends to form p-type contacts with most metals.
Furthermore, as shown in Figure 3b, 2D semiconductors show a tunable bandgap. For example, MoS2 shows a direct band gap of approximately 1.8 eV for its monolayer and 1.3 eV for bulk films [47,48]. This phenomenon originates from the quantum confinement effect, and the tunable bandgap property is characteristic of most 2D semiconductors, although the intensity of such an effect can vary among different materials, depending on their interlayer coupling. For example, black phosphorus exhibits a bandgap ranging from 0.3 eV to 2.0 eV, and InSe has a bandgap that spans from 1.3 eV to 2.1 eV, both showing more intense interlayer charge exchange and correlation [49,50]. Additionally, the bandgap of 2D semiconductors can be easily tuned by constructing heterostructures, applying strain engineering, performing chemical doping, and applying external electric fields [47]. For example, supporting a MoS2 monolayer film with an array of pillars can induce a tensile strain of up to 2%, resulting in a bandgap widening of 0.1 eV and an increase in electron mobility, thereby offering an alternative method to design device structures for enhanced carrier transport characteristics [51]. In addition, MoS2 sandwiched by hBN in a van der Waals heterostructure provides isolation from disorder and scattering sources including defect and charged impurities, measuring the Hall mobility up to 34,000 cm2(V·s)−1 [19].
The key attraction of 2D semiconductors is that their high carrier mobility can surpass that of Si in ultrathin films of 1 nm thickness [5]. Figure 3c summarizes the mobility of typical 2D TMDC semiconductors in comparison with graphene, silicon (Si), and germanium (Ge) in relation to their thickness. The variations in the mobility of 2D materials indicate the influence from multiple factors, including phonon scattering and surface charge scattering [19]. In a typical transistor device with a 2D semiconductor channel and oxide gate dielectric, the channel mobility can decrease due to Coulomb scattering from charged impurities of the oxide dielectrics [52]. As a result, bilayer 2D semiconductors usually exhibit higher mobility than their monolayer counterparts [12]. Theoretical mobility in a monolayer 2D TMDC can reach more than 500 cm2(V∙s)−1, and BP and InSe show even higher mobility exceeding 1000 cm2(V∙s)−1, while fabricated devices have seldomly achieved such high performance [53]. This issue can be mitigated by using a 2D insulator, hBN for example, to sandwich the channel, or by using a thicker 2D film as the channel, where the screening effect can mitigate the scattering centers [54,55]. Additionally, heavy doping by charge transfer can also alleviate the impact of charged impurities, resulting in a higher mobility [56].
Therefore, despite the theoretically exceptional electronic properties of 2D semiconductors for future transistor technology, new fabrication technologies are required to create these 2D materials with intact lattice integrity and atomically clean surfaces. These technologies to synthesize wafer-scale synthesis of single-crystal mono-/bilayer 2D films should also ensure a low thermal budget and compatibility with large-scale integrated circuit manufacturing, which is discussed in the following section.

3. Preparation of Wafer-Scale 2D Semiconductor Films

The synthesis of wafer-scale monocrystal 2D films is essential for fully exploiting their potential in circuit applications. To achieve this, “top-down” and “bottom-up” approaches have been developed for preparing 2D semiconductor films. The “top-down” approach primarily involves exfoliation and transfer techniques, typically used to prepare small-sized irregularly shaped 2D monocrystal flakes. This method is straightforward and capable of yielding high-quality, high-purity 2D materials with relatively low defect density. Nevertheless, it offers limited control over the deposition position and film thickness, which are considered primarily for demonstrating prototype devices and studying physics. Alternatively, the “bottom-up” approach synthesizes 2D films from atoms and molecules using methods such as chemical vapor deposition, atomic layer deposition, and epitaxy. This approach achieves uniformity over larger areas and provides better control over material thickness and composition, thereby attracting significant attention and research from the semiconductor community. This section primarily reviews the “bottom-up” methods for producing high-quality wafer-scale 2D semiconductor films and discusses the latest research advancements in growth mechanisms and techniques.

3.1. Controlled Synthesis of 2D Semiconductor Films

Research over the past decade has revealed a film growth kinetic that applies to most 2D semiconductor, involving random nucleation on the substrate, lateral growth of single crystalline domains, and finally, the merging of these domains into a continuous film [57,58]. From a mechanistic point of view, the synthesis method can significantly affect the crystal growth process and in turn, the properties of the resulting 2D films. Chemical vapor deposition (CVD), atomic layer deposition (ALD), molecular beam epitaxy (MBE), pulsed laser deposition (PLD), magnetron sputtering, solution process, and self-assembly methods have all achieved large-area continuous film growth of 2D materials [30,59,60,61,62,63,64]. Among them, ALD, PLD, sputtering, solution, and self-assembly methods can synthesize polycrystalline 2D material films at low temperatures below 450 °C. This offers the advantage of a low thermal budget and compatibility with the back-end-of-line (BEOL) process. However, the synthesized single-crystal 2D domain is smaller and precise control of the crystal orientation and film thickness uniformity of the domain remains challenging. As a result, these methods are usually applied to the preparation of 2D materials for the demonstration of multifunction devices but show low mobility and poor uniformity in FET devices. In contrast, CVD methods, including tubular CVD and metal–organic chemical vapor deposition (MOCVD), have been widely developed to prepare wafer-sized single-crystalline monolayer and bilayer 2D TMDC films, especially MoS2, whose uniformity on 12-inch wafers has been demonstrated [29,40,65,66,67]. This section focuses on the latest progress in the CVD synthesis of MoS2 and other 2D TMDC films for large-scale circuit integration.
Chemical vapor deposition (CVD) involves the deposition of vaporized reactants onto a substrate to synthesize 2D material thin films. Compared to traditional tubular CVD, MOCVD employs gas source pulsing, which enhances the uniformity of large-area film deposition. Herein, a series of wafer-scale semiconducting 2D films have been synthesized by stitching together randomly oriented 2D islands. For example, Wang et al. reported a multisource design in tubular CVD to achieve the epitaxy of monolayer MoS2 with highly oriented large domains that uniformly distributed on sapphire, with an average domain size greater than 100 μm [30]. Seol et al. synthesized 6-inch MoS2 and WS2 monolayer films on quartz glass using a pulsed MOCVD method, where the periodic precursors supply contributed to the perfect control of film thickness and uniformity [68]. Interestingly, using a designed face-to-face metal precursor supply, a 6-inch monolayer MoS2 film was synthesized on soda-lime glass within eight minutes, with sodium catalysts in the substrate facilitating the fast growth of monolayer MoS2. The salt-assisted 2D film growth and its underlying mechanism have been actively explored, elucidating an effective way to reduce the CVD synthesis temperature by lowering the activation energy [69,70].
Although great efforts have been devoted to synthesizing large-area 2D semiconducting films, atomic-resolution scanning transmission electron microscopy has revealed the polycrystalline nature of synthesized films and that the grain boundaries could degrade device performance [68]. The nucleation control at the initial growth stage plays a pivotal role in tuning the domain size and crystallinity of 2D materials [71]. Notably, the stitching of random-orientation 2D islands results in undesired grain boundaries, and thus recent research works have developed primarily two methods for CVD synthesizing large-area 2D single-crystal films. One approach involves the growth of ultra-large single-crystal domains, requiring the formation of sparse nucleation sites on the substrate surface and the adsorption of reactants at the film edges, which facilitates rapid lateral growth of the 2D film. Efforts to reduce nucleation density and eliminate grain boundaries include introducing functional groups on the film surface to suppress excessive nucleation and fabricating defects on the substrates as specific nucleation sites to synthesize large-area 2D nanosheet arrays [72,73]. These developments have led to the successful synthesis of various 2D TMDC single-crystal films in triangular shapes with sizes of several hundred micrometers and even a few millimeters [74,75,76,77]. From another perspective, Kim et al. reported a deterministic and confined growth method to synthesize monolayer WSe2 domain arrays, as shown in Figure 4a [78]. The nuclei were geometrically confined by patterned SiO2 masks on substrates, and the WSe2 monocrystal domains were selective grown by filling the trenches before the second set of nuclei was introduced. This method not only overcame the difficulty of growing large-area single-crystal 2D TMDC films but also showed potential for the direct construction of various vdW heterostructures.
An alternative strategy involves controlling the crystal orientation of 2D films grown on a substrate, leading to numerous crystal nuclei growing along a single lattice direction with the assistance of the atomic step edges on the substrate surface, eventually extending and seamlessly stitching together to form a continuous film with a single crystal orientation. This surface atomic step edge-guided strategy has been demonstrated as a practical growth method for the synthesis of many single-crystalline 2D TMDC and insulating hBN films, except that this method has not yet achieved the growth of single-element 2D semiconductor films such as Te and BP [79,80]. For example, Yang et al. successfully achieved the large-area stitched growth of single-crystalline MoS2 films on (111) Au substrates by carefully controlling the S/Mo ratio. [81]. Although the Au step-guided epitaxial method is promising and universal for synthesizing 2D TMDC single crystal films, the unavoidable transfer process can introduce defects, contaminations, and wrinkles that degrade the device performance [82,83]. Therefore, directly synthesizing high-quality 2D TMDC single crystals on insulators is highly desirable.
The Wang group developed a step-edge guide approach to achieve single-oriented MoS2 films on sapphire (001) substrates, which exhibited excellent electrical performance [40,66]. As shown in Figure 4b, the miscut orientation towards the α-axis of sapphire provided excellent control over the MoS2 domain orientation, which facilitated the nucleation and evolution of unidirectionally aligned monolayer MoS2 domains. As shown in Figure 4c,d, the unidirectional orientation of two MoS2 domains were verified by polarized second-harmonic generation with theoretical fittings for the two domains. Additionally, DFT calculations revealed that the presence of parallel steps lowered the sapphire surface symmetry and broke the formation energy degeneracy in favor of one direction. Recently, Wang et al. further combined the step-edge guide method with lattice matching between the 2D layer and the substrate, demonstrating 2-inch wafer-scale single-crystal TMDC films, including WS2, MoS2, MoSe2, and WSe2, on an α-Al2O3 surface [84].
Although promising results on the wafer-scale synthesis of monolayer 2D TMDC have already been reported, the BEOL integration of directly grown 2D materials on complementary metal–oxide semiconductor (CMOS) circuits remain challenging due to the high thermal budget required, which far exceeds the limits of silicon BEOL integration (<400 °C). To address this problem, Zhu et al. reported a dual-temperature MOCVD approach to synthesize monolayer MoS2 films below 300 °C, which enabled the 2D semiconductors to be synthesized directly on Si CMOS circuits without any transfer process [29]. Figure 4e illustrates the MOCVD system and the deposition procedure, showing that the sample substrate is placed in a separated low-temperature region away from the high-temperature precursor decomposition region. Figure 4f shows the scanning electron microscope image and transmission electron microscope image of MoS2 domains synthesized after 30 min. In Figure 4g, the obtained monolayer MoS2 shows a high electron mobility of ~35.9 cm2(V·s)−1 and uniformity on 12-inch wafers, representing the highest mobility achieved on industry-compatible 8- to 12-inch wafers.

3.2. Defect Control and Doping Techniques

Though large-area monocrystalline 2D films can be achieved by nucleation control and domain stitching approaches, atomic defects persist within these synthesized films. As a result, the obtained carrier mobilities are still far from the theoretical values due to inherent defects. Therefore, reducing surface defect density is a critical step in further enhancing the quality of 2D materials and the performance of 2D transistors.
Various atomic-scale defects in TMDC have been observed; the dominant point defects in CVD-grown TMDC have been identified as the chalcogen vacancies, with densities of the order of 1012–1013 cm−2 [40,85,86]. Defect-healing strategy have been developed by using alternative precursors and chalcogen monomer supply methods to promote the chalcogenization process, reducing the defect density and achieving stoichiometric TMDC films [87,88,89,90]. Particularly, Wan et al. reported that hydroxide W species as an extremely pure vapor phase metal precursor was very efficient for sulfurization, leading to about one order of magnitude lower defect density using a hydroxide vapor phase deposition (OHVPD) method compared to those from conventional CVD methods, as shown in Figure 5a,b [41]. The fabricated monolayer WS2 field-effect transistor (FET) reached a peak electron mobility of 200 cm2(V∙s)−1 at room temperature and 800 cm2(V∙s)−1 at 15 K, as shown in Figure 5c, comparable to those from exfoliated flakes, encouraging the industrialization of 2D materials.
Doping has been employed to control device polarity and adjust threshold voltage in Si FET technology, and this strategy has been extended to 2D semiconductor devices to realize the complementary integrated circuits. So far, n-type and p-type doping strategies of 2D semiconductors can be achieved by charge transfer, substitution, and electrostatic gating [91,92,93]. Interestingly, Li et al. developed a high-throughput CVD strategy to grow substitutional Fe-doped MoS2 nanosheets on SiO2/Si and 4-inch single crystals on c-plane sapphire, as shown in Figure 5d [42,56]. The Fe dopants resulted in heavy n-type doping and reduced the electron effective mass of monolayer MoS2; Figure 5e shows the improved device performance, outstanding on/off ratio of about 108, and high electron mobility of about 146 cm2(V s)−1, which were even higher than those of the undoped monolayer MoS2. Furthermore, the contact resistance of monolayer Fe-doped MoS2 has been reduced to 489 Ω µm, about two orders smaller than monolayer MoS2 (≈117 kΩ µm).
P-type doping techniques have also been actively developed to complement advancements in n-type doping strategies. Lan et al. developed a hybrid charge transfer and molecular doping method employing self-oxidized WOx to sandwich a single-layer WSe2 channel. A nitric oxide treatment was then applied to further enhance the p-type doping of the WSe2 channel, resulting in an overall effect of a record-high current of over 500 µA/µm while maintaining a high on/off ratio greater than 106 [94]. Li et al. developed a substitutional Nb-doping approach to effectively tune the polarity of MoS2 FETs. Figure 5f shows the schematic of the one-step salt-assisted CVD method for growing monolayer Nb-doped MoS2. The synthesized Nb-doped MoS2 domain size is larger than 200 µm, and its photoluminescent spectrum shows an optical bandgap slightly smaller than the undoped MoS2, as shown in Figure 5g,h. Further density functional theory calculations highlight the Fermi level shifting to the valence band maximum, as shown in Figure 5i, corresponding to a p-type doping effect via Nb substitution [95]. Such a p-type doping effect has been observed in substitutional Nb-doped MoS2 and WSe2 p-channel FET devices [96,97]. These efforts are crucial for balancing the carrier type control in 2D semiconductor devices, enabling the development of complementary logic circuits.
Figure 5. Large-area 2D semiconductor synthesis technology. STM images of a CVD-WS2 (a) and OHVPD-WS2 monolayer (b). (c) Comparison of mobility results for OHVPD-WS2, mechanically exfoliated WS2 monolayers, and conventional CVD-WS2 from the literature (reproduced with permission from [41], Springer Nature, 2022). (d) An optical image of Fe-doped MoS2 islands synthesized on c-plane sapphire, showing the unidirectional alignment of Fe-MoS2. Inset images are a schematic diagram of Fe-MoS2 on c-plane sapphire in the early growth stage (left), an atomic force microscope image and the height profile of monolayer Fe-doped MoS2 (right) (reproduced with permission from [42], John Wiley and Sons, 2023). (e) Comparison of the transfer curves of FETs using an undoped MoS2 channel and an Fe-doped MoS2 channel (reproduced with permission from [56], John Wiley and Sons, 2022). (f) Schematic of the CVD system for growing large-area monolayer Nb-doped MoS2. (g) An optical image of monolayer Nb-doped MoS2; the inset image shows its lattice structure illustrations. (h) PL spectra of pure MoS2 and Nb-doped MoS2. (i) DFT calculated band structures of Nb-doped MoS2 (reproduced with permission from [95], American Chemical Society, 2020).
Figure 5. Large-area 2D semiconductor synthesis technology. STM images of a CVD-WS2 (a) and OHVPD-WS2 monolayer (b). (c) Comparison of mobility results for OHVPD-WS2, mechanically exfoliated WS2 monolayers, and conventional CVD-WS2 from the literature (reproduced with permission from [41], Springer Nature, 2022). (d) An optical image of Fe-doped MoS2 islands synthesized on c-plane sapphire, showing the unidirectional alignment of Fe-MoS2. Inset images are a schematic diagram of Fe-MoS2 on c-plane sapphire in the early growth stage (left), an atomic force microscope image and the height profile of monolayer Fe-doped MoS2 (right) (reproduced with permission from [42], John Wiley and Sons, 2023). (e) Comparison of the transfer curves of FETs using an undoped MoS2 channel and an Fe-doped MoS2 channel (reproduced with permission from [56], John Wiley and Sons, 2022). (f) Schematic of the CVD system for growing large-area monolayer Nb-doped MoS2. (g) An optical image of monolayer Nb-doped MoS2; the inset image shows its lattice structure illustrations. (h) PL spectra of pure MoS2 and Nb-doped MoS2. (i) DFT calculated band structures of Nb-doped MoS2 (reproduced with permission from [95], American Chemical Society, 2020).
Nanomaterials 14 01408 g005

4. Two-Dimensional Semiconductor-Based Complementary Transistors

Integrating complementary n-channel and p-channel 2D FETs is indispensable for constructing energy-efficient CMOS circuits. This requires that both types of FETs exhibit high performance and symmetry in threshold voltage, subthreshold slope, current drive, and power supply. Contact resistance in 2D FET is a significant factor impeding device performance. Recent advancements have optimized the contact structures and fabrication processes between metal electrodes and 2D material channels, achieving ultra-low contact resistance and notable performance improvements in n-channel 2D FETs. However, achieving low-resistance p-type contacts remains challenging, which drags back the performance of p-channel FETs and hampers the progress of 2D circuit integration. Additionally, while hBN was considered an ideal gate dielectric for 2D FETs, its relatively low dielectric constant (κ) degrades gate control and leakage performance. Recent research has introduced high-κ 2D insulating films that form atomically smooth interfaces with the channel, resulting in 2D FETs with low gate leakage, steep subthreshold swings, and high mobility. This section summarizes the advancements in high-performance 2D FETs and discusses the novel device structures for achieving low-resistance ohmic contacts, emerging high-κ 2D dielectric materials, and device integration methods.

4.1. Towards Low-Resistance Ohmic Contact

The large contact resistance for the metal–2D semiconductor interface is a bottleneck that limits the performance of 2D FETs. This issue is attributed to the Fermi level (EF) pinning effect by charge transfer mechanisms at the contact interface. This effect causes the EF of a metal electrode to align with a charge-neutral level within the band gap of the 2D semiconductor, leading to the formation of Schottky contacts with high barriers [98,99]. It becomes evident that the underlying causes of Fermi level pinning are multifaceted, and the metal deposition process condition and the defect density of the 2D film could significantly modify the Schottky barrier of a metal–2D semiconductor contact and result in variable contact performance [44,100,101].
The van der Waals (vdW) contact, proposed by Liu et al. [18], forms atomically smooth interfaces between metals and 2D materials to achieve ohmic contact and reduce the contact resistance of 2D FETs. It involves transferring pre-patterned metal electrodes onto the surface of the 2D films to create vdW contacts for FET devices. As a result, the 2D channel is unaffected by defects or lattice disruption that arise from high-temperature deposition processes. Furthermore, an atomic-scale gap can form between metal atoms and 2D material atoms, isolating electron exchange between the metal and the 2D material, which helps maintain the metal’s work function and achieve ideal band alignment with the 2D material. Based on this principle, many research groups have fabricated both n-channel and p-channel FET devices with monolayer MoS2 and WSe2 active layers, using a metal work function to control the contact barrier polarity close to the Schottky Mott’s law [100,102,103,104]. Additionally, utilizing a thermally decomposable polymer as the buffer layer has enabled the integration of most CMOS compatible metals as vdW contacts for 2D FETs at the wafer scale, greatly expand the available choice of contact metals for process integration.
Recently, metals with low melting temperatures, such as Bi, Sn, In, and Sb, have demonstrated record low contact resistance in 2D TMDC-based FETs [33,105,106,107]. The semi-metallic nature of these metals, along with their reduced damage to 2D channels during metallization, helps suppress bond association and defect generation, thereby enhancing the carrier injection of FET devices. In particular, Li et al. pushed the electrical contact of monolayer MoS2 close to the quantum limit by the hybridization of its energy bands with semi-metallic Sb ( 01 1 ¯ 2 ) [33]. Figure 6a,b shows that the semi-metallic contact enhanced band hybridization and Bader charge transfer while decreasing the tunnel barrier width, resulting in a contact resistance as low as 42 Ω·µm and excellent stability at 125 °C. The improved contacts enabled short-channel MoS2 FETs to achieve current saturation at a 1 V drain with an on-state current of 1.23 mA/µm, an on/off ratio exceeding 108, and an intrinsic delay of 74 femtoseconds, as shown in Figure 6c, outperforming equivalent Si CMOS technologies and meeting the IRDS target for 2028. However, integrating these semimetals in the BEOL process requires careful design due to the low melting temperatures (Bi: 271.4 °C, Sn: 231.9 °C, In: 156.6 °C, Sb: 630.6 °C) and their diffusive nature, which raises concerns about reliability and stability.
While achieving low-resistance n-type contacts on 2D semiconductor films using vdW contacts and low-work-function semimetals is possible, obtaining high-performance p-type contacts remains challenging. The valence band levels of 2D semiconductors, especially their monolayers, are situated around 5~6 eV below the vacuum level, which is lower than the Fermi level of most elemental metals. Consequently, forming low-barrier p-type contacts using high-work-function metals, such as platinum (Pt), palladium (Pd), and gold (Au), by conventional evaporation processes on 2D semiconductors is difficult. To address this, Wang et al. reported that maintaining device temperatures close to room temperature during the metal deposition process could minimize interface damage. Figure 6d shows the atomic resolution imaging and spectroscopy that used to verify the near-ideal clean interfaces between the 2D TMDC layers and metal electrodes [34]. Figure 6e,f shows the electrical measurements of the p-channel WSe2 FETs, revealing that the Fermi level is unpinned and exhibits a low contact resistance of 3.3 kΩ µm, high mobility of approximately 190 cm2(V∙s)−1 at room temperature, and a high drain current of around 30 μA/μm. Additionally, methods combining Nb doping, AuCl3 doping, and oxygen plasma treatment also improved p-type conduction in MoS2 and WSe2 FETs [96,108,109]. Ma et al. employed a slow evaporation approach coupled with atomic layer passivation to integrate evaporated Pt contacts with Nb-doped p-channel MoS2 FETs, achieving a record-high hole current of 50 µA/µm at a 1 V drain voltage, an on/off ratio of around 106, and hole mobility exceeding 60 cm2(V∙s)−1 [110]. However, the record-low p-type contact resistance for 2D FETs remains several orders of magnitude higher than that of n-type contacts, which hinders the integration of complementary circuits. Thus, further research is urgently needed to address this disparity.

4.2. High-κ Gate Dielectrics Strategies

The MOSFET theory gives a characteristic channel length (λ) of FETs without short channel effect as λ = t s ε s E O T / ε S i O 2 , where E O T = t o x ε S i O 2 / ε o x is the equivalent oxide thickness, ts and tox are the thickness of the channel semiconductor and gate dielectric, respectively, and εs, εox, and εSiO2 are the dielectric constants of the channel semiconductor, gate dielectric, and SiO2, respectively. Thus, integrating ultrathin high-κ dielectrics on a monolayer 2D channel is of great significance for ultimate transistor scaling, and a larger gate capacitance also reduces the supply voltage and energy consumption. The IRDS targets require state-of-the-art FETs to have an EOT of less than 1 nm, making it imperative to develop novel high-κ gate dielectric materials and integration methods for 2D FETs [25]. However, the vdW surface of 2D semiconductors presents a critical challenge in integrating high-quality gate dielectrics [111]. Although hBN has been widely reported as a promising 2D insulator that can form ideal interfaces with 2D semiconductor channels and enhance device performance [43,112,113,114], its well-known shortcomings, including a low dielectric constant (κ = 3~4) and the high temperatures (>1000 °C) required to grow high-quality single-crystal films [80,115], make hBN less suitable as a gate dielectric for 2D FETs in future integrated circuit applications.
ALD is a mature technology for the conformal deposition of ultra-thin dielectric materials and has been predominantly used to construct high-κ gate-dielectrics in silicon transistor technologies. However, migrating the ALD technique from silicon to 2D semiconductors presents significant challenges. While ALD high-κ dielectrics can be readily deposited on CVD-grown 2D semiconductors due to surface defects, high-quality 2D semiconductors lack dangling bonds on their atomically smooth surfaces, causing ALD precursors to wet non-uniformly on the surface, leading to non-uniform and non-conformal growth of thin gate dielectrics. Although various attempts have been made to address this critical challenge, such as direct deposition [116], oxidation of deposited metal [117], surface pretreatment [118], and organic materials as a seeding layer [119], a universal approach for integrating a high-quality dielectric layer with sub-nanometer EOT on 2D materials has yet to be explored. Xu et al. demonstrated using an inorganic molecular crystal Sb2O3 as a buffer layer, as shown in Figure 7a, which could be homogeneously deposited on 2D semiconductors. This buffer layer could form a high-quality oxide-to-semiconductor interface and offered a highly hydrophilic surface, enabling the integration of high-κ dielectrics via ALD [36]. As shown in Figure 7b,c, this approach demonstrated monolayer MoS2 FETs with an ultrathin EOT (0.67 nm), exhibiting an on/off ratio of over 106 with an ultralow operating voltage of 0.4 V, achieving high gating efficiency approaching the Boltzmann limit.
Single-crystalline gate insulators with dangling-bond-free surfaces are more competitive in fabricating high-mobility and highly reliable 2D FETs due to reduced interfacial scatterings and hysteresis. Therefore, it is highly desirable to discover new vdW insulators similar to hBN but with a much higher dielectric constant and more scalable synthetic conditions [37]. Recently, the Peng group reported the synthesis of Bi2SeO5 as a vdW high-κ gate dielectric to improve the mobility of 2D FETs [120,121]. Figure 7d shows that they fabricated 2D Bi2O2Se and 2D MoS2 devices with the channel encapsulated by Bi2SeO5 nanosheets. The electrical measurement results showed that the Bi2SeO5 encapsulation notably improved channel mobility, and the FETs showed a high on/off ratio > 108, a low gate leakage current < 10−6 A cm−2, and a low subthreshold swing of 70 mV/dec. Furthermore, Figure 7e shows a CVD-grown Bi2SiO5 gate dielectric with an integrable fabrication process for 2D semiconductor channels demonstrated by Chen et al. [122]. The ultrathin Bi2SiO5 single crystals with thickness down to a monolayer had a high κ value > 30, a large band gap of 3.8 eV, and a large breakdown field strength. The vertically grown Bi2SiO5 had the advantage of being easily transferred onto other substrates by polymer-free mechanical pressing, which greatly facilitated its ideal vdW integration with many 2D semiconductors as high-κ gate dielectrics and screening layers. As shown in Figure 7f, the fabricated MoS2 FET using Bi2SiO5 as gate dielectrics showed high mobility, reaching the theoretical limit (549.3 cm2(V·s)−1). It also exhibited near-ideal gate dielectric characteristics, such as a large on/off ratio (>108), an ignorable hysteresis (~3 mV), a low DIBL value (~5 mV/V), and a low gate leakage current (~10−13 A).
Figure 7. High-κ gate dielectrics for high performance 2D FETs. (a) Schematic of the MoS2 FET with high-κ HfO2 gate dielectric, an Sb2O3 buffer layer, and graphene back gates. (b) Comparison of the EOT and SS of hybrid Sb2O3/HfO2 gate dielectrics against state-of-the-art 2D FETs integrated with dielectrics via various methods. (c) Benchmarking operating voltages and on/off ratios of state-of-the-art 2D FETs and Si MOSFETs in the IRDS (reproduced with permission from [36], Springer Nature, 2023). (d) Temperature-dependent Hall mobility of 2D Bi2O2Se with and without Bi2SeO5 encapsulation, with the inset showing an optical image of the device (reproduced with permission from [120], Springer Nature, 2023). (e) Optical image of back-gate MoS2 FETs device on Bi2SiO5 and SiO2 substrates. (f) Temperature-dependent 4-probe FET mobility of MoS2 FETs on Bi2SiO5 and SiO2 substrates (reproduced with permission from [122], Springer Nature, 2023).
Figure 7. High-κ gate dielectrics for high performance 2D FETs. (a) Schematic of the MoS2 FET with high-κ HfO2 gate dielectric, an Sb2O3 buffer layer, and graphene back gates. (b) Comparison of the EOT and SS of hybrid Sb2O3/HfO2 gate dielectrics against state-of-the-art 2D FETs integrated with dielectrics via various methods. (c) Benchmarking operating voltages and on/off ratios of state-of-the-art 2D FETs and Si MOSFETs in the IRDS (reproduced with permission from [36], Springer Nature, 2023). (d) Temperature-dependent Hall mobility of 2D Bi2O2Se with and without Bi2SeO5 encapsulation, with the inset showing an optical image of the device (reproduced with permission from [120], Springer Nature, 2023). (e) Optical image of back-gate MoS2 FETs device on Bi2SiO5 and SiO2 substrates. (f) Temperature-dependent 4-probe FET mobility of MoS2 FETs on Bi2SiO5 and SiO2 substrates (reproduced with permission from [122], Springer Nature, 2023).
Nanomaterials 14 01408 g007

5. Two-Dimensional Semiconductors for Post-Moore Transistors and Circuits

As transistor sizes continue to shrink, tunneling and more short-channel effects have become increasingly apparent, and the electronic performance of FinFETs can no longer meet the demands of the next-generation integrated circuit. New transistor structures have been proposed, such as gate-all-around field-effect transistors (GAAFETs) and complementary field-effect transistors (CFETs). With their vdW layered structure, 2D materials are showing suitability and competitiveness in these novel three-dimensional FET structures and multi-tier transistor integration to extend Moore’s Law further [22,123,124,125]. Transitioning 2D FETs from the laboratory to large-scale manufacturing also involves multiple critical steps, including circuit design, process development, and large-scale production [126]. Recent advances in 2D material-based ultra-short channel FETs and milestone integration of logic gates and driver circuits have demonstrated significant progress; these technological advancements are reviewed in this section, highlighting the evolution of 2D FETs and their impact on the future of large-scale integrated circuits.

5.1. Two-Dimensional FETs with Extremely Short Channels

The first 1 nm gate length FET was demonstrated in a planar device form using a bilayer MoS2 as the channel and a single-walled carbon nanotube as the gate electrode by Hu’s and Javey’s group [12], achieving a subthreshold swing of 65 mV/dec and a high on/off ratio at room temperature. Simulations showed an effective channel length of ~3.9 nm in the off state and ~1 nm in the on state, surpassing the 5 nm limitation of Si FETs. However, directly fabricating three-dimensional (3D)-structured FETs from 2D materials remains challenging because it is difficult to grow or transfer 2D films to form vertical channels. Tan et al. addressed this issue by developing an epitaxial heterostructure using the fin-shaped Bi2O2Se channel and single-crystal high-κ Bi2SeO5 gate dielectric arranged in a vertical array [121]. These fin heterostructures achieved wafer-scale high-density growth with ultrathin fin thickness down to 1.2 nm. The fabricated 2D material-based FinFET with a 400 nm channel length exhibited high electron mobility of 270 cm2(V·s)−1, high on/off ratios of 108, and a high on-state current up to 830 μA/μm. It encourages the exploration of more 2D FETs with a vertical channel to enable ultra-dense 3D integration.
Vertical field effect transistors (VFET) hold promises for developing ultra-scaled transistors. Liu et al. first demonstrated MoS2 vertical transistors in a gated diode structure created using a van der Waals metal integration technique [103]. The channel lengths of MoS2 VFETs were scaled down to 0.65 nm and 3.60 nm, achieving on/off ratios of 26 and 103, respectively. To fabricate 2D FETs with gate lengths below 1 nm while maintaining a large on/off ratio, Wu et al. reported sidewall MoS2 transistors with an atomically thin channel and a physical gate length below 1 nm using the edge of a graphene layer as the gate electrode [23]. Figure 8a,b shows the structure and transfer characteristics of those MoS2 VFETs, respectively, exhibiting on/off ratios over 108 and subthreshold swing values down to 117 mV/dec. Simulation results indicated that the effective length of the MoS2 sidewall channel approached 0.34 nm in the on-state and 4.54 nm in the off-state. As benchmarked in Figure 8c, this work demonstrated a FET with the shortest channel length ever achieved and highlighted the potential to extend Moore’s law of transistor scaling down for next-generation integrated circuits. Recently, Tao et al. developed a T-shape lamination approach for fabricating 2D VFETs with sidewall channels and 10 layers of laterally stacked 2D VFETs, as shown in Figure 8d,e. This method achieved a high device density of over 108 cm−2, overcoming the incompatibility between planar fabrication processes and vertical device structures [104].
Vertically integrated complementary field-effect transistors (CFETs) using hetero-2D semiconductors with different carrier types have been demonstrated in recent years [13,14,26,35,45,93,127]. However, the lack of a stable and non-destructive doping scheme for 2D semiconductors has hindered the monolithic integration of complementary logic circuits. Guo et al. addressed this by placing MoS2 on a vdW antiferromagnetic insulator, chromium oxychloride (CrOCl), to control the carrier polarity of MoS2 from n-type to p-type through strong vdW interfacial coupling [128]. This approach achieved room-temperature hole mobilities up to approximately 425 cm2(V·s)−1, on/off ratios reaching 106, and air-stable performance for over one year. Three-dimensional complementary logic circuits constructed using 14 vdW stacked 2D material layers, including inverters, NAND gates, and SRAM, have been demonstrated. That work highlights the potential of vdW intercalation for 2D FET polarity engineering, paving the way for robust three-dimensional integrated circuits based on 2D material-based logic gates.
Despite progress on single-transistor devices, developing high-frequency integrated circuits remains a challenge. Very seldom can current 2D material-based FETs and circuits operate in the gigahertz range [31,129,130], well below the speed achieved by Si CMOS and emerging carbon nanotube technology [131]. Fan et al. tackled this issue by developing an innovative air-gap spacer structure in monolayer MoS2 FETs, as illustrated in Figure 8f [15]. This device structure facilitated doping-free ohmic contacts and minimized parasitic capacitance. Figure 8g,h exhibits the fabrication of five-stage ring oscillators using MoS2 FETs with a 100 nm channel length, operating at 2.65 GHz (delay < 40 ps), achieved through a design-technology co-optimization (DTCO) process. Further technology computer-aided design (TCAD) simulations indicate that the air-gap structure could be scaled down to the 1 nm technology node and meet the IRDS targets for 2031. To provide a straightforward understanding for the reader, Table 1 compares the electronic properties, transistor performance, and circuit integration capabilities of Si, indium gallium zinc oxide (IGZO), carbon nanotubes (CNT), and MoS2 as a representative 2D semiconductor. Although circuits based on MoS2 achieve a much lower integration density, MoS2 demonstrates superior mobility and transistor performance in ultrathin channels compared to conventional semiconductor materials, highlighting its advantages in device miniaturization for the next-generation integrated circuit.

5.2. Functional Circuit Integration

The development of device arrays and integrated circuits using 2D MoS2 has seen significant progress, owing to its relatively advanced large-area synthesis and device fabrication technologies compared to other 2D materials. Wachter et al. presented for the first time an NMOS-logic 1-bit microprocessor implemented using planar MoS2 FETs. Figure 9a shows that the MoS2 microprocessor integrated with 115 transistors could execute user-defined programs stored in external memory, perform logical operations, and communicate with peripheral components, representing the most complex circuitry achieved with a 2D material to date [31]. Nevertheless, complementary logic circuits have only been demonstrated on a much smaller scale due to significant challenges in achieving performance uniformity for both n-channel and p-channel 2D FETs across large areas [13,93,128,135]. Consequently, although 2D FETs have shown superior performance compared to Si in ultra-short channel devices, their potential as replacements for Si in future electronic technologies remains largely unexamined, as direct comparisons at the circuit level are still lacking. Recently, Lu et al. addressed this gap by comparing 2D-based and Si-based static random-access memory (SRAM) circuits across technology nodes ranging from 16 nm to 1 nm [136]. They employed calibrated MoS2 n-channel FETs and WSe2 p-channel FETs and utilized TCAD for their device and circuit simulations. The findings indicated that 2D-based SRAM outperformed Si SRAM in terms of stability, operating speed, and energy efficiency. Specifically, planar 2D FETs exhibited a lower capacitance compared to three-dimensional Si FETs at the 1 nm node, resulting in a 16% reduction in cell read access time, a 72% reduction in write time, and a 60% decrease in dynamic power. These results highlight the potential of 2D FETs for counteracting performance degradation caused by the reduced metal pitch and increased wire resistance in advanced technology nodes, presenting promising prospects for high-performance and low-power circuit applications.
On the other side, the heterogeneous integration of wafer-scale 2D transistors into driver circuits for advanced computing, display, and memory technologies has been extensively explored. As shown in Figure 9b, Marega et al. reported a 32 × 32 vector–matrix multiplier integrated with 1024 floating-gate MoS2 FETs to process signals in artificial neural networks and extract meaningful information from the massive quantities of data produced in the real world [32]. Their wafer-scale fabrication process achieved a high yield and low device-to-device variability, which are critical for practical applications.
To further minimize latency and energy consumption in emerging data-intensive computation architectures, Xie et al. demonstrated a monolithic 3D integration of atomically thin MoS2 transistors with 3D vertical resistive random-access memory (VRRAM), as shown in Figure 9c [137]. Their fabrication process, conducted at temperatures below 300 °C, ensured that the top-plane fabrication did not impact the performance of bottom-plane devices. The MoS2 transistors enabled each VRRAM layer to be driven into four distinct resistance states (2 bit), with circuit-level modeling highlighting advantages over planar memory in terms of reduced area, faster data transfer, and lower energy consumption, underscoring its potential for energy-efficient on-chip memory systems. Additionally, as shown in Figure 9d, Meng et al. integrated high-current MoS2 thin-film transistors (TFTs) with nitride micro-light-emitting diodes (µLEDs) through a BEOL process, achieving an active-matrix display with a resolution of 1270 pixels per inch for augmented and virtual reality applications [28]. The MoS2 TFTs exhibited a drive current of 210 μA/μm and excellent uniformity, driving the µLEDs to a luminance of 7.1 × 107 cd/m2 at low voltage, surpassing the capabilities of existing TFT technologies based on amorphous silicon (a-Si) and indium gallium zinc oxide (IGZO).
The 3D integration of circuits not only enhances device density for the “More Moore” roadmap, but also introduces multifunctional capabilities for the “More than Moore” technologies. While Si-based 3D integrated circuits are commercially available, efforts to apply 2D materials to 3D integration and develop their unique functionalities are limited. In this context, the Das group made significant strides by demonstrating several 3D integration approaches using 2D semiconductors [46,125]. They achieved several milestones, including wafer-scale integration of multi-tier MoS2 and WSe2 FETs, with over 10,000 FETs per tier, as illustrated in Figure 9e. Furthermore, they accomplished a two-tier 3D integration featuring 200 MoS2 FETs per tier, each with a short channel length of 45 nm. Additionally, they realized a 3D circuit that showcased multifunctional capabilities, including sensing and storage. These advancements demonstrate the potential for more complex and higher-density integrated circuits with increased monolithic layers and diverse functionalities, providing a reference for the development of 3D integrated circuits in the post-Moore era.

6. Conclusions

Two-dimensional semiconductors hold remarkable promise for revolutionizing future field-effect transistors and integrated circuits. Their superior electronic properties and compatibility with state-of-the-art CMOS technology position them as key enablers for advancing the performance and functional diversity of integrated circuits. Recent developments have demonstrated substantial progress and notable milestones in wafer-scale growth and high-performance device fabrication, highlighting the potential of 2D semiconductors in FET applications. Nonetheless, several challenges remain, including achieving defect-free integration, optimizing doping strategies, clean van der Waals (vdW) interfaces to contact and dielectrics, the integration of high-κ dielectrics, and ensuring design-fabrication optimization. Addressing these challenges requires leveraging insights from established semiconductor technologies and adapting them to the unique characteristics of 2D semiconductors. Key areas for further research include refining wafer-scale growth mechanisms, developing precise doping techniques, and enhancing interface quality to improve device performance. Solutions include developing clean interfaces through advanced deposition methods and self-passivating approaches, employing dielectric materials like hBN to preserve electronic integrity, all while ensuring compatibility with existing CMOS processes for industrial scalability. In addition, creating compatible circuit design tools and optimizing processes from material synthesis through system integration in a design technology co-optimization manner are critical to advancing circuit application of 2D semiconductors. The integration of 2D materials into state-of-the-art FETs and integrated circuits offers the potential for significant technological advancements. By overcoming existing hurdles and continuing interdisciplinary collaboration, 2D materials are set to drive innovation and redefine the capabilities of semiconductor technology in a new paradigm.

Author Contributions

Conceptualization, Z.M. and C.Z.; writing—original draft preparation, Z.M., M.L. and H.Y.; writing—review and editing, Z.M.; visualization, M.L. and H.Y.; supervision, Z.M.; project administration, N.W. and Z.M.; funding acquisition, Z.M. and C.Z. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the Guangdong Provincial Key Field Research and Development Program grant number 2022B0701180002, the Guangzhou Science and Technology Program, grant number SL2024A04J00780, and the Fundamental Research Funds for the Central Universities grant number 2023ZYGXZR107.

Data Availability Statement

Data are available upon request.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Lundstrom, M. Moore’s Law Forever? Science 2003, 299, 210–211. [Google Scholar] [CrossRef] [PubMed]
  2. Bohr, M. A 30 Year Retrospective on Dennard’s MOSFET Scaling Paper. IEEE Solid-State Circuits Soc. Newsl. 2007, 12, 11–13. [Google Scholar] [CrossRef]
  3. Akinwande, D.; Huyghebaert, C.; Wang, C.-H.; Serna, M.I.; Goossens, S.; Li, L.-J.; Wong, H.-S.P.; Koppens, F.H.L. Graphene and Two-Dimensional Materials for Silicon Technology. Nature 2019, 573, 507–518. [Google Scholar] [CrossRef] [PubMed]
  4. Shen, Y.; Dong, Z.; Sun, Y.; Guo, H.; Wu, F.; Li, X.; Tang, J.; Liu, J.; Wu, X.; Tian, H.; et al. The Trend of 2D Transistors toward Integrated Circuits: Scaling Down and New Mechanisms. Adv. Mater. 2022, 34, 2201916. [Google Scholar] [CrossRef]
  5. Wang, S.; Liu, X.; Zhou, P. The Road for 2D Semiconductors in the Silicon Age. Adv. Mater. 2022, 34, 2106886. [Google Scholar] [CrossRef] [PubMed]
  6. Chhowalla, M.; Jena, D.; Zhang, H. Two-Dimensional Semiconductors for Transistors. Nat. Rev. Mater. 2016, 1, 16052. [Google Scholar] [CrossRef]
  7. Novoselov, K.S.; Geim, A.K.; Morozov, S.V.; Jiang, D.; Zhang, Y.; Dubonos, S.V.; Grigorieva, I.V.; Firsov, A.A. Electric Field Effect in Atomically Thin Carbon Films. Science 2004, 306, 666–669. [Google Scholar] [CrossRef]
  8. Radisavljevic, B.; Radenovic, A.; Brivio, J.; Giacometti, V.; Kis, A. Single-Layer MoS2 Transistors. Nat. Nanotechnol. 2011, 6, 147–150. [Google Scholar] [CrossRef]
  9. Allain, A.; Kis, A. Electron and Hole Mobilities in Single-Layer WSe2. ACS Nano 2014, 8, 7180–7185. [Google Scholar] [CrossRef]
  10. Li, L.; Yu, Y.; Ye, G.J.; Ge, Q.; Ou, X.; Wu, H.; Feng, D.; Chen, X.H.; Zhang, Y. Black Phosphorus Field-Effect Transistors. Nat. Nanotechnol. 2014, 9, 372–377. [Google Scholar] [CrossRef]
  11. Sucharitakul, S.; Goble, N.J.; Kumar, U.R.; Sankar, R.; Bogorad, Z.A.; Chou, F.-C.; Chen, Y.-T.; Gao, X.P.A. Intrinsic Electron Mobility Exceeding 103 cm2/(Vs) in Multilayer InSe FETs. Nano Lett. 2015, 15, 3815–3819. [Google Scholar] [CrossRef]
  12. Desai, S.B.; Madhvapathy, S.R.; Sachid, A.B.; Llinas, J.P.; Wang, Q.; Ahn, G.H.; Pitner, G.; Kim, M.J.; Bokor, J.; Hu, C.; et al. MoS2 Transistors with 1-Nanometer Gate Lengths. Science 2016, 354, 99–102. [Google Scholar] [CrossRef]
  13. Yeh, C.-H.; Liang, Z.-Y.; Lin, Y.-C.; Chen, H.-C.; Fan, T.; Ma, C.-H.; Chu, Y.-H.; Suenaga, K.; Chiu, P.-W. Graphene–Transition Metal Dichalcogenide Heterojunctions for Scalable and Low-Power Complementary Integrated Circuits. ACS Nano 2020, 14, 985–992. [Google Scholar] [CrossRef]
  14. Wang, J.; Guo, X.; Yu, Z.; Ma, Z.; Liu, Y.; Lin, Z.; Chan, M.; Zhu, Y.; Wang, X.; Chai, Y. Low-Power Complementary Inverter with Negative Capacitance 2D Semiconductor Transistors. Adv. Funct. Mater. 2020, 30, 2003859. [Google Scholar] [CrossRef]
  15. Fan, D.; Li, W.; Qiu, H.; Xu, Y.; Gao, S.; Liu, L.; Li, T.; Huang, F.; Mao, Y.; Zhou, W.; et al. Two-Dimensional Semiconductor Integrated Circuits Operating at Gigahertz Frequencies. Nat. Electron. 2023, 6, 879–887. [Google Scholar] [CrossRef]
  16. Novoselov, K.S.; Mishchenko, A.; Carvalho, A.; Castro Neto, A.H. 2D Materials and van Der Waals Heterostructures. Science 2016, 353, aac9439. [Google Scholar] [CrossRef] [PubMed]
  17. Shin, G.H.; Park, C.; Lee, K.J.; Jin, H.J.; Choi, S.-Y. Ultrasensitive Phototransistor Based on WSe2–MoS2 van Der Waals Heterojunction. Nano Lett. 2020, 20, 5741–5748. [Google Scholar] [CrossRef] [PubMed]
  18. Liu, Y.; Guo, J.; Zhu, E.; Liao, L.; Lee, S.-J.; Ding, M.; Shakir, I.; Gambin, V.; Huang, Y.; Duan, X. Approaching the Schottky–Mott Limit in van Der Waals Metal–Semiconductor Junctions. Nature 2018, 557, 696–700. [Google Scholar] [CrossRef]
  19. Cui, X.; Lee, G.-H.; Kim, Y.D.; Arefe, G.; Huang, P.Y.; Lee, C.-H.; Chenet, D.A.; Zhang, X.; Wang, L.; Ye, F.; et al. Multi-Terminal Transport Measurements of MoS2 Using a van Der Waals Heterostructure Device Platform. Nat. Nanotechnol. 2015, 10, 534–540. [Google Scholar] [CrossRef]
  20. Ma, J.; Liu, H.; Yang, N.; Zou, J.; Lin, S.; Zhang, Y.; Zhang, X.; Guo, J.; Wang, H. Circuit-Level Memory Technologies and Applications Based on 2D Materials. Adv. Mater. 2022, 34, 2202371. [Google Scholar] [CrossRef]
  21. Chung, Y.-Y.; Yun, W.-S.; Chou, B.-J.; Hsu, C.-F.; Yu, S.-M.; Arutchelvan, G.; Li, M.-Y.; Lee, T.-E.; Lin, B.-J.; Li, C.-Y.; et al. Monolayer-MoS2 Stacked Nanosheet Channel with C-Type Metal Contact. In Proceedings of the 2023 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 9 December 2023; IEEE: New York, NY, USA, 2023; pp. 1–4. [Google Scholar]
  22. Chung, Y.-Y.; Chou, B.-J.; Hsu, C.-F.; Yun, W.-S.; Li, M.-Y.; Su, S.-K.; Liao, Y.-T.; Lee, M.-C.; Huang, G.-W.; Liew, S.-L.; et al. First Demonstration of GAA Monolayer-MoS2 Nanosheet NFET with 410μA/μm ID 1V VD at 40nm Gate Length. In Proceedings of the 2022 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2022; pp. 34.5.1–34.5.4. [Google Scholar]
  23. Wu, F.; Tian, H.; Shen, Y.; Hou, Z.; Ren, J.; Gou, G.; Sun, Y.; Yang, Y.; Ren, T.-L. Vertical MoS2 Transistors with Sub-1-Nm Gate Lengths. Nature 2022, 603, 259–264. [Google Scholar] [CrossRef]
  24. Hitesh, S.; Dasika, P.; Watanabe, K.; Taniguchi, T.; Majumdar, K. Integration of 3-Level MoS2 Multibridge Channel FET with 2D Layered Contact and Gate Dielectric. IEEE Electron. Device Lett. 2022, 43, 1993–1996. [Google Scholar] [CrossRef]
  25. IEEE. International Roadmap for Devices and Systems (IRDSTM). 2022 Edition: More Moore. Available online: https://irds.ieee.org/editions/2022/more-moore (accessed on 2 March 2024).
  26. Tong, L.; Wan, J.; Xiao, K.; Liu, J.; Ma, J.; Guo, X.; Zhou, L.; Chen, X.; Xia, Y.; Dai, S.; et al. Heterogeneous Complementary Field-Effect Transistors Based on Silicon and Molybdenum Disulfide. Nat. Electron. 2023, 6, 37–44. [Google Scholar] [CrossRef]
  27. Lemme, M.C.; Akinwande, D.; Huyghebaert, C.; Stampfer, C. 2D Materials for Future Heterogeneous Electronics. Nat. Commun. 2022, 13, 1392. [Google Scholar] [CrossRef]
  28. Meng, W.; Xu, F.; Yu, Z.; Tao, T.; Shao, L.; Liu, L.; Li, T.; Wen, K.; Wang, J.; He, L.; et al. Three-Dimensional Monolithic Micro-LED Display Driven by Atomically Thin Transistor Matrix. Nat. Nanotechnol. 2021, 16, 1231–1236. [Google Scholar] [CrossRef]
  29. Zhu, J.; Park, J.-H.; Vitale, S.A.; Ge, W.; Jung, G.S.; Wang, J.; Mohamed, M.; Zhang, T.; Ashok, M.; Xue, M.; et al. Low-Thermal-Budget Synthesis of Monolayer Molybdenum Disulfide for Silicon Back-End-of-Line Integration on a 200 μm Platform. Nat. Nanotechnol. 2023, 18, 456–463. [Google Scholar] [CrossRef]
  30. Wang, Q.; Li, N.; Tang, J.; Zhu, J.; Zhang, Q.; Jia, Q.; Lu, Y.; Wei, Z.; Yu, H.; Zhao, Y.; et al. Wafer-Scale Highly Oriented Monolayer MoS2 with Large Domain Sizes. Nano Lett. 2020, 20, 7193–7199. [Google Scholar] [CrossRef]
  31. Wachter, S.; Polyushkin, D.K.; Bethge, O.; Mueller, T. A Microprocessor Based on a Two-Dimensional Semiconductor. Nat. Commun. 2017, 8, 14948. [Google Scholar] [CrossRef] [PubMed]
  32. Migliato Marega, G.; Ji, H.G.; Wang, Z.; Pasquale, G.; Tripathi, M.; Radenovic, A.; Kis, A. A Large-Scale Integrated Vector–Matrix Multiplication Processor Based on Monolayer Molybdenum Disulfide Memories. Nat. Electron. 2023, 6, 991–998. [Google Scholar] [CrossRef]
  33. Li, W.; Gong, X.; Yu, Z.; Ma, L.; Sun, W.; Gao, S.; Köroğlu, Ç.; Wang, W.; Liu, L.; Li, T.; et al. Approaching the Quantum Limit in Two-Dimensional Semiconductor Contacts. Nature 2023, 613, 274–279. [Google Scholar] [CrossRef]
  34. Wang, Y.; Kim, J.C.; Li, Y.; Ma, K.Y.; Hong, S.; Kim, M.; Shin, H.S.; Jeong, H.Y.; Chhowalla, M. P-Type Electrical Contacts for 2D Transition-Metal Dichalcogenides. Nature 2022, 610, 61–66. [Google Scholar] [CrossRef]
  35. Xiong, X.; Liu, S.; Liu, H.; Chen, Y.; Shi, X.; Wang, X.; Li, X.; Huang, R.; Wu, Y. Top-Gate CVD WSe2 PFETs with Record-High Id ~594 μA/μm, Gm ~244 μS/μm and WSe2/MoS2 CFET Based Half-Adder Circuit Using Monolithic 3D Integration. In Proceedings of the 2022 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3 December 2022; IEEE: New York, NY, USA, 2022; pp. 20.6.1–20.6.4. [Google Scholar]
  36. Xu, Y.; Liu, T.; Liu, K.; Zhao, Y.; Liu, L.; Li, P.; Nie, A.; Liu, L.; Yu, J.; Feng, X.; et al. Scalable Integration of Hybrid High-κ Dielectric Materials on Two-Dimensional Semiconductors. Nat. Mater. 2023, 22, 1078–1084. [Google Scholar] [CrossRef]
  37. Huang, J.-K.; Wan, Y.; Shi, J.; Zhang, J.; Wang, Z.; Wang, W.; Yang, N.; Liu, Y.; Lin, C.-H.; Guan, X.; et al. High-κ Perovskite Membranes as Insulators for Two-Dimensional Transistors. Nature 2022, 605, 262–267. [Google Scholar] [CrossRef] [PubMed]
  38. Lee, Y.-H.; Zhang, X.-Q.; Zhang, W.; Chang, M.-T.; Lin, C.-T.; Chang, K.-D.; Yu, Y.-C.; Wang, J.T.-W.; Chang, C.-S.; Li, L.-J.; et al. Synthesis of Large-Area MoS2 Atomic Layers with Chemical Vapor Deposition. Adv. Mater. 2012, 24, 2320–2325. [Google Scholar] [CrossRef]
  39. Kang, K.; Xie, S.; Huang, L.; Han, Y.; Huang, P.Y.; Mak, K.F.; Kim, C.-J.; Muller, D.; Park, J. High-Mobility Three-Atom-Thick Semiconducting Films with Wafer-Scale Homogeneity. Nature 2015, 520, 656–660. [Google Scholar] [CrossRef]
  40. Li, T.; Guo, W.; Ma, L.; Li, W.; Yu, Z.; Han, Z.; Gao, S.; Liu, L.; Fan, D.; Wang, Z.; et al. Epitaxial Growth of Wafer-Scale Molybdenum Disulfide Semiconductor Single Crystals on Sapphire. Nat. Nanotechnol. 2021, 16, 1201–1207. [Google Scholar] [CrossRef] [PubMed]
  41. Wan, Y.; Li, E.; Yu, Z.; Huang, J.-K.; Li, M.-Y.; Chou, A.-S.; Lee, Y.-T.; Lee, C.-J.; Hsu, H.-C.; Zhan, Q.; et al. Low-Defect-Density WS2 by Hydroxide Vapor Phase Deposition. Nat. Commun. 2022, 13, 4149. [Google Scholar] [CrossRef] [PubMed]
  42. Li, H.; Yang, J.; Li, X.; Luo, Q.; Cheng, M.; Feng, W.; Du, R.; Wang, Y.; Song, L.; Wen, X.; et al. Bridging Synthesis and Controllable Doping of Monolayer 4 in. Length Transition-Metal Dichalcogenides Single Crystals with High Electron Mobility. Adv. Mater. 2023, 35, 2211536. [Google Scholar] [CrossRef]
  43. Roy, T.; Tosun, M.; Kang, J.S.; Sachid, A.B.; Desai, S.B.; Hettick, M.; Hu, C.C.; Javey, A. Field-Effect Transistors Built from All Two-Dimensional Material Components. ACS Nano 2014, 8, 6259–6264. [Google Scholar] [CrossRef]
  44. English, C.D.; Shine, G.; Dorgan, V.E.; Saraswat, K.C.; Pop, E. Improved Contacts to MoS2 Transistors by Ultra-High Vacuum Metal Deposition. Nano Lett. 2016, 16, 3824–3830. [Google Scholar] [CrossRef]
  45. Sachid, A.B.; Tosun, M.; Desai, S.B.; Hsu, C.-Y.; Lien, D.-H.; Madhvapathy, S.R.; Chen, Y.-Z.; Hettick, M.; Kang, J.S.; Zeng, Y.; et al. Monolithic 3D CMOS Using Layered Semiconductors. Adv. Mater. 2016, 28, 2547–2554. [Google Scholar] [CrossRef]
  46. Pendurthi, R.; Sakib, N.U.; Sadaf, M.U.K.; Zhang, Z.; Sun, Y.; Chen, C.; Jayachandran, D.; Oberoi, A.; Ghosh, S.; Kumari, S.; et al. Monolithic Three-Dimensional Integration of Complementary Two-Dimensional Field-Effect Transistors. Nat. Nanotechnol. 2024, 19, 970–977. [Google Scholar] [CrossRef]
  47. Chaves, A.; Azadani, J.G.; Alsalman, H.; da Costa, D.R.; Frisenda, R.; Chaves, A.J.; Song, S.H.; Kim, Y.D.; He, D.; Zhou, J.; et al. Bandgap Engineering of Two-Dimensional Semiconductor Materials. NPJ. 2D Mater. Appl. 2020, 4, 29. [Google Scholar] [CrossRef]
  48. Kang, J.; Zhang, L.; Wei, S.-H. A Unified Understanding of the Thickness-Dependent Bandgap Transition in Hexagonal Two-Dimensional Semiconductors. J. Phys. Chem. Lett. 2016, 7, 597–602. [Google Scholar] [CrossRef] [PubMed]
  49. Sun, Y.; Luo, S.; Zhao, X.-G.; Biswas, K.; Li, S.-L.; Zhang, L. InSe: A Two-Dimensional Material with Strong Interlayer Coupling. Nanoscale 2018, 10, 7991–7998. [Google Scholar] [CrossRef] [PubMed]
  50. Tran, V.; Soklaski, R.; Liang, Y.; Yang, L. Layer-Controlled Band Gap and Anisotropic Excitons in Few-Layer Black Phosphorus. Phys. Rev. B 2014, 89, 235319. [Google Scholar] [CrossRef]
  51. Peng, Z.; Chen, X.; Fan, Y.; Srolovitz, D.J.; Lei, D. Strain Engineering of 2D Semiconductors and Graphene: From Strain Fields to Band-Structure Tuning and Photonic Applications. Light. Sci. Appl. 2020, 9, 190. [Google Scholar] [CrossRef]
  52. Ma, N.; Jena, D. Charge Scattering and Mobility in Atomically Thin Semiconductors. Phys. Rev. X 2014, 4, 11043. [Google Scholar] [CrossRef]
  53. Zhang, C.; Wang, R.; Mishra, H.; Liu, Y. Two-Dimensional Semiconductors with High Intrinsic Carrier Mobility at Room Temperature. Phys. Rev. Lett. 2023, 130, 87001. [Google Scholar] [CrossRef]
  54. Wang, J.; Yao, Q.; Huang, C.-W.; Zou, X.; Liao, L.; Chen, S.; Fan, Z.; Zhang, K.; Wu, W.; Xiao, X.; et al. High Mobility MoS2 Transistor with Low Schottky Barrier Contact by Using Atomic Thick h-BN as a Tunneling Layer. Adv. Mater. 2016, 28, 8302–8308. [Google Scholar] [CrossRef]
  55. Lin, M.-W.; Kravchenko, I.I.; Fowlkes, J.; Li, X.; Puretzky, A.A.; Rouleau, C.M.; Geohegan, D.B.; Xiao, K. Thickness-Dependent Charge Transport in Few-Layer MoS2 Field-Effect Transistors. Nanotechnology 2016, 27, 165203. [Google Scholar] [CrossRef] [PubMed]
  56. Li, H.; Cheng, M.; Wang, P.; Du, R.; Song, L.; He, J.; Shi, J. Reducing Contact Resistance and Boosting Device Performance of Monolayer MoS2 by In Situ Fe Doping. Adv. Mater. 2022, 34, 2200885. [Google Scholar] [CrossRef] [PubMed]
  57. Xu, X.; Guo, T.; Kim, H.; Hota, M.K.; Alsaadi, R.S.; Lanza, M.; Zhang, X.; Alshareef, H.N. Growth of 2D Materials at the Wafer Scale. Adv. Mater. 2022, 34, 2108258. [Google Scholar] [CrossRef]
  58. Li, X.; Yang, J.; Sun, H.; Huang, L.; Li, H.; Shi, J. Controlled Synthesis and Accurate Doping of Wafer-Scale 2D Semiconducting Transition Metal Dichalcogenides. Adv. Mater. 2023, 2305115. [Google Scholar] [CrossRef]
  59. Cai, J.; Han, X.; Wang, X.; Meng, X. Atomic Layer Deposition of Two-Dimensional Layered Materials: Processes, Growth Mechanisms, and Characteristics. Matter 2020, 2, 587–630. [Google Scholar] [CrossRef]
  60. Fu, D.; Zhao, X.; Zhang, Y.-Y.; Li, L.; Xu, H.; Jang, A.-R.; Yoon, S.I.; Song, P.; Poh, S.M.; Ren, T.; et al. Molecular Beam Epitaxy of Highly Crystalline Monolayer Molybdenum Disulfide on Hexagonal Boron Nitride. J. Am. Chem. Soc. 2017, 139, 9392–9400. [Google Scholar] [CrossRef]
  61. Hussain, S.; Khan, M.F.; Shehzad, M.A.; Vikraman, D.; Iqbal, M.Z.; Choi, D.-C.; Song, W.; An, K.-S.; Seo, Y.; Eom, J.; et al. Layer-Modulated, Wafer Scale and Continuous Ultra-Thin WS2 Films Grown by RF Sputtering via Post-Deposition Annealing. J. Mater. Chem. C Mater. 2016, 4, 7846–7852. [Google Scholar] [CrossRef]
  62. Serna, M.I.; Yoo, S.H.; Moreno, S.; Xi, Y.; Oviedo, J.P.; Choi, H.; Alshareef, H.N.; Kim, M.J.; Minary-Jolandan, M.; Quevedo-Lopez, M.A. Large-Area Deposition of MoS2 by Pulsed Laser Deposition with In Situ Thickness Control. ACS Nano 2016, 10, 6054–6061. [Google Scholar] [CrossRef]
  63. Lin, Z.; Liu, Y.; Halim, U.; Ding, M.; Liu, Y.; Wang, Y.; Jia, C.; Chen, P.; Duan, X.; Wang, C.; et al. Solution-Processable 2D Semiconductors for High-Performance Large-Area Electronics. Nature 2018, 562, 254–258. [Google Scholar] [CrossRef]
  64. Hu, K.-M.; Guo, W.; Deng, X.-L.; Li, X.-Y.; Tu, E.-Q.; Xin, Y.-H.; Xue, Z.-Y.; Jiang, X.-S.; Wang, G.; Meng, G.; et al. Deterministically Self-Assembled 2D Materials and Electronics. Matter 2023, 6, 1654–1668. [Google Scholar] [CrossRef]
  65. Kwon, J.; Seol, M.; Yoo, J.; Ryu, H.; Ko, D.-S.; Lee, M.-H.; Lee, E.K.; Yoo, M.S.; Lee, G.-H.; Shin, H.-J.; et al. 200-mm-Wafer-Scale Integration of Polycrystalline Molybdenum Disulfide Transistors. Nat. Electron. 2024, 7, 356–364. [Google Scholar] [CrossRef]
  66. Liu, L.; Li, T.; Ma, L.; Li, W.; Gao, S.; Sun, W.; Dong, R.; Zou, X.; Fan, D.; Shao, L.; et al. Uniform Nucleation and Epitaxy of Bilayer Molybdenum Disulfide on Sapphire. Nature 2022, 605, 69–75. [Google Scholar] [CrossRef] [PubMed]
  67. Xia, Y.; Chen, X.; Wei, J.; Wang, S.; Chen, S.; Wu, S.; Ji, M.; Sun, Z.; Xu, Z.; Bao, W.; et al. 12-Inch Growth of Uniform MoS2 Monolayer for Integrated Circuit Manufacture. Nat. Mater. 2023, 22, 1324–1331. [Google Scholar] [CrossRef]
  68. Seol, M.; Lee, M.-H.; Kim, H.; Shin, K.W.; Cho, Y.; Jeon, I.; Jeong, M.; Lee, H.-I.; Park, J.; Shin, H.-J. High-Throughput Growth of Wafer-Scale Monolayer Transition Metal Dichalcogenide via Vertical Ostwald Ripening. Adv. Mater. 2020, 32, 2003542. [Google Scholar] [CrossRef]
  69. Lei, J.; Xie, Y.; Kutana, A.; Bets, K.V.; Yakobson, B.I. Salt-Assisted MoS2 Growth: Molecular Mechanisms from the First Principles. J. Am. Chem. Soc. 2022, 144, 7497–7503. [Google Scholar] [CrossRef] [PubMed]
  70. Li, S. Salt-Assisted Chemical Vapor Deposition of Two-Dimensional Transition Metal Dichalcogenides. iScience 2021, 24, 103229. [Google Scholar] [CrossRef]
  71. Liu, C.; Wang, L.; Qi, J.; Liu, K. Designed Growth of Large-Size 2D Single Crystals. Adv. Mater. 2020, 32, 2000046. [Google Scholar] [CrossRef]
  72. Zhang, Z.; Huang, Z.; Li, J.; Wang, D.; Lin, Y.; Yang, X.; Liu, H.; Liu, S.; Wang, Y.; Li, B.; et al. Endoepitaxial Growth of Monolayer Mosaic Heterostructures. Nat. Nanotechnol. 2022, 17, 493–499. [Google Scholar] [CrossRef] [PubMed]
  73. Zhu, J.; Xu, H.; Zou, G.; Zhang, W.; Chai, R.; Choi, J.; Wu, J.; Liu, H.; Shen, G.; Fan, H. MoS2–OH Bilayer-Mediated Growth of Inch-Sized Monolayer MoS2 on Arbitrary Substrates. J. Am. Chem. Soc. 2019, 141, 5392–5401. [Google Scholar] [CrossRef]
  74. Chen, J.; Tang, W.; Tian, B.; Liu, B.; Zhao, X.; Liu, Y.; Ren, T.; Liu, W.; Geng, D.; Jeong, H.Y.; et al. Chemical Vapor Deposition of High-Quality Large-Sized MoS2 Crystals on Silicon Dioxide Substrates. Adv. Sci. 2016, 3, 1500033. [Google Scholar] [CrossRef]
  75. Chen, J.; Zhao, X.; Tan, S.J.R.; Xu, H.; Wu, B.; Liu, B.; Fu, D.; Fu, W.; Geng, D.; Liu, Y.; et al. Chemical Vapor Deposition of Large-Size Monolayer MoSe2 Crystals on Molten Glass. J. Am. Chem. Soc. 2017, 139, 1073–1076. [Google Scholar] [CrossRef]
  76. Rong, Y.; Fan, Y.; Leen Koh, A.; Robertson, A.W.; He, K.; Wang, S.; Tan, H.; Sinclair, R.; Warner, J.H. Controlling Sulphur Precursor Addition for Large Single Crystal Domains of WS2. Nanoscale 2014, 6, 12096–12103. [Google Scholar] [CrossRef]
  77. Yang, T.; Zheng, B.; Wang, Z.; Xu, T.; Pan, C.; Zou, J.; Zhang, X.; Qi, Z.; Liu, H.; Feng, Y.; et al. Van Der Waals Epitaxial Growth and Optoelectronics of Large-Scale WSe2/SnS2 Vertical Bilayer p–n Junctions. Nat. Commun. 2017, 8, 1906. [Google Scholar] [CrossRef]
  78. Kim, K.S.; Lee, D.; Chang, C.S.; Seo, S.; Hu, Y.; Cha, S.; Kim, H.; Shin, J.; Lee, J.-H.; Lee, S.; et al. Non-Epitaxial Single-Crystal 2D Material Growth by Geometric Confinement. Nature 2023, 614, 88–94. [Google Scholar] [CrossRef]
  79. Wang, L.; Xu, X.; Zhang, L.; Qiao, R.; Wu, M.; Wang, Z.; Zhang, S.; Liang, J.; Zhang, Z.; Zhang, Z.; et al. Epitaxial Growth of a 100-Square-Centimetre Single-Crystal Hexagonal Boron Nitride Monolayer on Copper. Nature 2019, 570, 91–95. [Google Scholar] [CrossRef]
  80. Chen, T.-A.; Chuu, C.-P.; Tseng, C.-C.; Wen, C.-K.; Wong, H.-S.P.; Pan, S.; Li, R.; Chao, T.-A.; Chueh, W.-C.; Zhang, Y.; et al. Wafer-Scale Single-Crystal Hexagonal Boron Nitride Monolayers on Cu (111). Nature 2020, 579, 219–223. [Google Scholar] [CrossRef]
  81. Yang, P.; Zhang, S.; Pan, S.; Tang, B.; Liang, Y.; Zhao, X.; Zhang, Z.; Shi, J.; Huan, Y.; Shi, Y.; et al. Epitaxial Growth of Centimeter-Scale Single-Crystal MoS2 Monolayer on Au(111). ACS Nano 2020, 14, 5036–5045. [Google Scholar] [CrossRef]
  82. Kim, J.; Ju, X.; Ang, K.-W.; Chi, D. Van Der Waals Layer Transfer of 2D Materials for Monolithic 3D Electronic System Integration: Review and Outlook. ACS Nano 2023, 17, 1831–1844. [Google Scholar] [CrossRef]
  83. Choi, S.H.; Kim, H.-J.; Song, B.; Kim, Y.I.; Han, G.; Nguyen, H.T.T.; Ko, H.; Boandoh, S.; Choi, J.H.; Oh, C.S.; et al. Epitaxial Single-Crystal Growth of Transition Metal Dichalcogenide Monolayers via the Atomic Sawtooth Au Surface. Adv. Mater. 2021, 33, 2006601. [Google Scholar] [CrossRef]
  84. Wang, J.; Xu, X.; Cheng, T.; Gu, L.; Qiao, R.; Liang, Z.; Ding, D.; Hong, H.; Zheng, P.; Zhang, Z.; et al. Dual-Coupling-Guided Epitaxial Growth of Wafer-Scale Single-Crystal WS2 Monolayer on Vicinal a-Plane Sapphire. Nat. Nanotechnol. 2022, 17, 33–38. [Google Scholar] [CrossRef]
  85. Choi, S.H.; Yang, S.-H.; Park, S.; Cho, B.W.; Nguyen, T.D.; Kim, J.H.; Kim, Y.-M.; Kim, K.K.; Lee, Y.H. Is Chemical Vapor Deposition of Monolayer WSe2 Comparable to Other Synthetic Routes? APL Mater. 2023, 11, 111124. [Google Scholar] [CrossRef]
  86. Wang, S.; Robertson, A.; Warner, J.H. Atomic Structure of Defects and Dopants in 2D Layered Transition Metal Dichalcogenides. Chem. Soc. Rev. 2018, 47, 6764–6794. [Google Scholar] [CrossRef]
  87. Zuo, Y.; Liu, C.; Ding, L.; Qiao, R.; Tian, J.; Liu, C.; Wang, Q.; Xue, G.; You, Y.; Guo, Q.; et al. Robust Growth of Two-Dimensional Metal Dichalcogenides and Their Alloys by Active Chalcogen Monomer Supply. Nat. Commun. 2022, 13, 1007. [Google Scholar] [CrossRef] [PubMed]
  88. Xue, G.; Sui, X.; Yin, P.; Zhou, Z.; Li, X.; Cheng, Y.; Guo, Q.; Zhang, S.; Wen, Y.; Zuo, Y.; et al. Modularized Batch Production of 12-Inch Transition Metal Dichalcogenides by Local Element Supply. Sci. Bull. 2023, 68, 1514–1521. [Google Scholar] [CrossRef] [PubMed]
  89. Feng, S.; Tan, J.; Zhao, S.; Zhang, S.; Khan, U.; Tang, L.; Zou, X.; Lin, J.; Cheng, H.-M.; Liu, B. Synthesis of Ultrahigh-Quality Monolayer Molybdenum Disulfide through In Situ Defect Healing with Thiol Molecules. Small 2020, 16, 2003357. [Google Scholar] [CrossRef] [PubMed]
  90. Lin, Y.-C.; Jariwala, B.; Bersch, B.M.; Xu, K.; Nie, Y.; Wang, B.; Eichfeld, S.M.; Zhang, X.; Choudhury, T.H.; Pan, Y.; et al. Realizing Large-Scale, Electronic-Grade Two-Dimensional Semiconductors. ACS Nano 2018, 12, 965–975. [Google Scholar] [CrossRef] [PubMed]
  91. Lee, D.; Lee, J.J.; Kim, Y.S.; Kim, Y.H.; Kim, J.C.; Huh, W.; Lee, J.; Park, S.; Jeong, H.Y.; Kim, Y.D.; et al. Remote Modulation Doping in van Der Waals Heterostructure Transistors. Nat. Electron. 2021, 4, 664–670. [Google Scholar] [CrossRef]
  92. Tang, L.; Xu, R.; Tan, J.; Luo, Y.; Zou, J.; Zhang, Z.; Zhang, R.; Zhao, Y.; Lin, J.; Zou, X.; et al. Modulating Electronic Structure of Monolayer Transition Metal Dichalcogenides by Substitutional Nb-Doping. Adv. Funct. Mater. 2021, 31, 2006941. [Google Scholar] [CrossRef]
  93. Resta, G.V.; Balaji, Y.; Lin, D.; Radu, I.P.; Catthoor, F.; Gaillardon, P.-E.; De Micheli, G. Doping-Free Complementary Logic Gates Enabled by Two-Dimensional Polarity-Controllable Transistors. ACS Nano 2018, 12, 7039–7047. [Google Scholar] [CrossRef]
  94. Lan, H.-Y.; Tripathi, R.; Liu, X.; Appenzeller, J.; Chen, Z. Wafer-Scale CVD Monolayer WSe2 p-FETs with Record-High 727 μA/μm Ion and 490 μS/μm gmax via Hybrid Charge Transfer and Molecular Doping. In Proceedings of the 2023 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 9 December 2023; IEEE: New York, NY, USA, 2023; pp. 1–4. [Google Scholar]
  95. Li, M.; Yao, J.; Wu, X.; Zhang, S.; Xing, B.; Niu, X.; Yan, X.; Yu, Y.; Liu, Y.; Wang, Y. P-Type Doping in Large-Area Monolayer MoS2 by Chemical Vapor Deposition. ACS Appl. Mater. Interfaces 2020, 12, 6276–6282. [Google Scholar] [CrossRef] [PubMed]
  96. Pandey, S.K.; Alsalman, H.; Azadani, J.G.; Izquierdo, N.; Low, T.; Campbell, S.A. Controlled P-Type Substitutional Doping in Large-Area Monolayer WSe2 Crystals Grown by Chemical Vapor Deposition. Nanoscale 2018, 10, 21374–21385. [Google Scholar] [CrossRef]
  97. Ma, Z.; Zhang, L.; Zhou, C.; Chan, M. High Current Nb-Doped P-Channel MoS₂ Field-Effect Transistor Using Pt Contact. IEEE Electron Device Lett. 2021, 42, 343–346. [Google Scholar] [CrossRef]
  98. Sotthewes, K.; van Bremen, R.; Dollekamp, E.; Boulogne, T.; Nowakowski, K.; Kas, D.; Zandvliet, H.J.W.; Bampoulis, P. Universal Fermi-Level Pinning in Transition-Metal Dichalcogenides. J. Phys. Chem. C 2019, 123, 5411–5420. [Google Scholar] [CrossRef] [PubMed]
  99. Wang, X.; Hu, Y.; Kim, S.Y.; Cho, K.; Wallace, R.M. Mechanism of Fermi Level Pinning for Metal Contacts on Molybdenum Dichalcogenide. ACS Appl. Mater. Interfaces 2024, 16, 13258–13266. [Google Scholar] [CrossRef]
  100. Kong, L.; Zhang, X.; Tao, Q.; Zhang, M.; Dang, W.; Li, Z.; Feng, L.; Liao, L.; Duan, X.; Liu, Y. Doping-Free Complementary WSe2 Circuit via van Der Waals Metal Integration. Nat. Commun. 2020, 11, 1866. [Google Scholar] [CrossRef] [PubMed]
  101. Wang, Y.; Chhowalla, M. Making Clean Electrical Contacts on 2D Transition Metal Dichalcogenides. Nat. Rev. Phys. 2022, 4, 101–112. [Google Scholar] [CrossRef]
  102. Kong, L.; Wu, R.; Chen, Y.; Huangfu, Y.; Liu, L.; Li, W.; Lu, D.; Tao, Q.; Song, W.; Li, W.; et al. Wafer-Scale and Universal van Der Waals Metal Semiconductor Contact. Nat. Commun. 2023, 14, 1014. [Google Scholar] [CrossRef]
  103. Liu, L.; Kong, L.; Li, Q.; He, C.; Ren, L.; Tao, Q.; Yang, X.; Lin, J.; Zhao, B.; Li, Z.; et al. Transferred van Der Waals Metal Electrodes for Sub-1-nm MoS2 Vertical Transistors. Nat. Electron. 2021, 4, 342–347. [Google Scholar] [CrossRef]
  104. Tao, Q.; Wu, R.; Zou, X.; Chen, Y.; Li, W.; Lu, Z.; Ma, L.; Kong, L.; Lu, D.; Yang, X.; et al. High-Density Vertical Sidewall MoS2 Transistors through T-Shape Vertical Lamination. Nat. Commun. 2024, 15, 5774. [Google Scholar] [CrossRef]
  105. Kumar, A.; Schauble, K.; Neilson, K.M.; Tang, A.; Ramesh, P.; Wong, H.-S.P.; Pop, E.; Saraswat, K. Sub-200 Ω·µm Alloyed Contacts to Synthetic Monolayer MoS2. In Proceedings of the 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 11–16 December 2021; pp. 7.3.1–7.3.4. [Google Scholar]
  106. Shen, P.-C.; Su, C.; Lin, Y.; Chou, A.-S.; Cheng, C.-C.; Park, J.-H.; Chiu, M.-H.; Lu, A.-Y.; Tang, H.-L.; Tavakoli, M.M.; et al. Ultralow Contact Resistance between Semimetal and Monolayer Semiconductors. Nature 2021, 593, 211–217. [Google Scholar] [CrossRef]
  107. Chou, A.-S.; Cheng, C.-C.; Liew, S.-L.; Ho, P.-H.; Wang, S.-Y.; Chang, Y.-C.; Chang, C.-K.; Su, Y.-C.; Huang, Z.-D.; Fu, F.-Y.; et al. High On-State Current in Chemical Vapor Deposited Monolayer MoS2 NFETs With Sn Ohmic Contacts. IEEE Electron. Device Lett. 2021, 42, 272–275. [Google Scholar] [CrossRef]
  108. Ahmed, F.; Lee, D.; Liu, X.; Qu, D.; Ryu, J.; Yoo, W.J.; Yang, Z. P-Type Polar Transition of Chemically Doped Multilayer MoS2 Transistor. Adv. Mater. 2016, 28, 2345–2351. [Google Scholar] [CrossRef]
  109. Zeng, Y.; Yin, S.; Jin, W.; Hu, Y.; Wu, S.; Guo, Z.; Lu, J.; Wang, S.; Zhou, G.; Wang, W.; et al. High-Performance p-Type MoS2 Field-Effect Transistor by Toroidal-Magnetic-Field Controlled Oxygen Plasma Doping. 2D Mater. 2019, 6, 025007. [Google Scholar] [CrossRef]
  110. Ma, Z.; Estrada, C.J.; Gong, K.; Zhang, L.; Chan, M. On-Chip Integrated High Gain Complementary MoS2 Inverter Circuit with Exceptional High Hole Current P-Channel Field-Effect Transistors. Adv. Electron. Mater. 2022, 8, 2200480. [Google Scholar] [CrossRef]
  111. Li, W.; Zhou, J.; Cai, S.; Yu, Z.; Zhang, J.; Fang, N.; Li, T.; Wu, Y.; Chen, T.; Xie, X.; et al. Uniform and Ultrathin High-κ Gate Dielectrics for Two-Dimensional Electronic Devices. Nat. Electron. 2019, 2, 563–571. [Google Scholar] [CrossRef]
  112. Bandurin, D.A.; Tyurnina, A.V.; Yu, G.L.; Mishchenko, A.; Zólyomi, V.; Morozov, S.V.; Kumar, R.K.; Gorbachev, R.V.; Kudrynskyi, Z.R.; Pezzini, S.; et al. High Electron Mobility, Quantum Hall Effect and Anomalous Optical Response in Atomically Thin InSe. Nat. Nanotechnol. 2017, 12, 223–227. [Google Scholar] [CrossRef]
  113. Yang, F.; Zhang, Z.; Wang, N.Z.; Ye, G.J.; Lou, W.; Zhou, X.; Watanabe, K.; Taniguchi, T.; Chang, K.; Chen, X.H.; et al. Quantum Hall Effect in Electron-Doped Black Phosphorus Field-Effect Transistors. Nano Lett. 2018, 18, 6611–6616. [Google Scholar] [CrossRef]
  114. Knobloch, T.; Illarionov, Y.Y.; Ducry, F.; Schleich, C.; Wachter, S.; Watanabe, K.; Taniguchi, T.; Mueller, T.; Waltl, M.; Lanza, M.; et al. The Performance Limits of Hexagonal Boron Nitride as an Insulator for Scaled CMOS Devices Based on Two-Dimensional Materials. Nat. Electron. 2021, 4, 98–108. [Google Scholar] [CrossRef]
  115. Ma, Z.; Prawoto, C.; Ahmed, Z.; Xiao, Y.; Zhang, L.; Zhou, C.; Chan, M. Control of Hexagonal Boron Nitride Dielectric Thickness by Single Layer Etching. J. Mater. Chem. C Mater. 2019, 7, 6273–6278. [Google Scholar] [CrossRef]
  116. Liu, H.; Ye, P.D. MoS2 Dual-Gate MOSFET With Atomic-Layer-Deposited Al2O2 as Top-Gate Dielectric. IEEE Electron Device Lett. 2012, 33, 546–548. [Google Scholar] [CrossRef]
  117. Lin, D.; Wu, X.; Cott, D.; Verreck, D.; Groven, B.; Sergeant, S.; Smets, Q.; Sutar, S.; Asselberghs, I.; Radu, I. Dual Gate Synthetic WS2 MOSFETs with 120μS/μm Gm 2.7μF/cm2 Capacitance and Ambipolar Channel. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020; pp. 3.6.1–3.6.4. [Google Scholar]
  118. Wang, X.; Zhang, T.-B.; Yang, W.; Zhu, H.; Chen, L.; Sun, Q.-Q.; Zhang, D.W. Improved Integration of Ultra-Thin High- κ Dielectrics in Few-Layer MoS2 FET by Remote Forming Gas Plasma Pretreatment. Appl. Phys. Lett. 2017, 110, 053110. [Google Scholar] [CrossRef]
  119. Park, J.H.; Fathipour, S.; Kwak, I.; Sardashti, K.; Ahles, C.F.; Wolf, S.F.; Edmonds, M.; Vishwanath, S.; Xing, H.G.; Fullerton-Shirey, S.K.; et al. Atomic Layer Deposition of Al2O3 on WSe2 Functionalized by Titanyl Phthalocyanine. ACS Nano 2016, 10, 6888–6896. [Google Scholar] [CrossRef]
  120. Zhang, C.; Tu, T.; Wang, J.; Zhu, Y.; Tan, C.; Chen, L.; Wu, M.; Zhu, R.; Liu, Y.; Fu, H.; et al. Single-Crystalline van Der Waals Layered Dielectric with High Dielectric Constant. Nat. Mater. 2023, 22, 832–837. [Google Scholar] [CrossRef] [PubMed]
  121. Tan, C.; Yu, M.; Tang, J.; Gao, X.; Yin, Y.; Zhang, Y.; Wang, J.; Gao, X.; Zhang, C.; Zhou, X.; et al. 2D Fin Field-Effect Transistors Integrated with Epitaxial High- κ Gate Oxide. Nature 2023, 616, 66–72. [Google Scholar] [CrossRef] [PubMed]
  122. Chen, J.; Liu, Z.; Dong, X.; Gao, Z.; Lin, Y.; He, Y.; Duan, Y.; Cheng, T.; Zhou, Z.; Fu, H.; et al. Vertically Grown Ultrathin Bi2SiO2 as High-κ Single-Crystalline Gate Dielectric. Nat. Commun. 2023, 14, 4406. [Google Scholar] [CrossRef]
  123. Xiong, X.; Tong, A.; Wang, X.; Liu, S.; Li, X.; Huang, R.; Wu, Y. Demonstration of Vertically-Stacked CVD Monolayer Channels: MoS2 Nanosheets GAA-FET with Ion > 700 μA/μm and MoS2/WSe2 CFET. In Proceedings of the 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 16 December 2021; pp. 7.5.1–7.5.4. [Google Scholar]
  124. Huang, X.; Liu, C.; Tang, Z.; Zeng, S.; Liu, L.; Hou, X.; Chen, H.; Li, J.; Jiang, Y.-G.; Zhang, D.W.; et al. High Drive and Low Leakage Current MBC FET with Channel Thickness 1.2nm/0.6nm. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020; pp. 12.1.1–12.1.4. [Google Scholar]
  125. Jayachandran, D.; Pendurthi, R.; Sadaf, M.U.K.; Sakib, N.U.; Pannone, A.; Chen, C.; Han, Y.; Trainor, N.; Kumari, S.; Mc Knight, T.V.; et al. Three-Dimensional Integration of Two-Dimensional Field-Effect Transistors. Nature 2024, 625, 276–281. [Google Scholar] [CrossRef]
  126. Ning, H.; Yu, Z.; Li, T.; Shen, H.; Long, G.; Shi, Y.; Wang, X. From Lab to Fab: Path Forward for 2D Material Electronics. Sci. China Inf. Sci. 2023, 66, 160411. [Google Scholar] [CrossRef]
  127. Estrada, C.J.; Ma, Z.; Chan, M. Complementary Two-Dimensional (2-D) FET Technology with MoS2/HBN/Graphene Stack. IEEE Electron Device Lett. 2021, 42, 1890–1893. [Google Scholar] [CrossRef]
  128. Guo, Y.; Li, J.; Zhan, X.; Wang, C.; Li, M.; Zhang, B.; Wang, Z.; Liu, Y.; Yang, K.; Wang, H.; et al. Van Der Waals Polarity-Engineered 3D Integration of 2D Complementary Logic. Nature 2024, 630, 346–352. [Google Scholar] [CrossRef]
  129. Chen, L.; Li, S.; Feng, X.; Wang, L.; Huang, X.; Tee, B.C.-K.; Ang, K.-W. Gigahertz Integrated Circuits Based on Complementary Black Phosphorus Transistors. Adv. Electron. Mater. 2018, 4, 1800274. [Google Scholar] [CrossRef]
  130. Li, N.; Wang, Q.; Shen, C.; Wei, Z.; Yu, H.; Zhao, J.; Lu, X.; Wang, G.; He, C.; Xie, L.; et al. Large-Scale Flexible and Transparent Electronics Based on Monolayer Molybdenum Disulfide Field-Effect Transistors. Nat. Electron. 2020, 3, 711–717. [Google Scholar] [CrossRef]
  131. Liu, L.; Han, J.; Xu, L.; Zhou, J.; Zhao, C.; Ding, S.; Shi, H.; Xiao, M.; Ding, L.; Ma, Z.; et al. Aligned, High-Density Semiconducting Carbon Nanotube Arrays for High-Performance Electronics. Science 2020, 368, 850–856. [Google Scholar] [CrossRef] [PubMed]
  132. Zhang, Y.; Li, J.; Zhang, Y.; Yang, H.; Guan, Y.; Chan, M.; Lu, L.; Zhang, S. Deep Sub-Micron Self-Aligned Bottom-Gate Amorphous InGaZnO Thin-Film Transistors with Low-Resistance Source/Drain. IEEE Electron Device Lett. 2023, 44, 1300–1303. [Google Scholar] [CrossRef]
  133. Kang, S.-H.; Jo, J.-W.; Lee, J.M.; Moon, S.; Shin, S.B.; Bin Choi, S.; Byeon, D.; Kim, J.; Kim, M.-G.; Kim, Y.-H.; et al. Full Integration of Highly Stretchable Inorganic Transistors and Circuits within Molecular-Tailored Elastic Substrates on a Large Scale. Nat. Commun. 2024, 15, 2814. [Google Scholar] [CrossRef] [PubMed]
  134. Peng, L.-M. High-Performance Carbon Nanotube Thin-Film Transistor Technology. ACS Nano 2023, 17, 22156–22166. [Google Scholar] [CrossRef]
  135. Estrada, C.J.; Ma, Z.; Chan, M. Complementary Two-Dimensional (2-D) MoS2 FET Technology. In Proceedings of the ESSDERC 2021-IEEE 51st European Solid-State Device Research Conference (ESSDERC), Grenoble, France, 13–22 September 2021; pp. 219–222. [Google Scholar]
  136. Lu, Y.-C.; Huang, J.-K.; Chao, K.-Y.; Li, L.-J.; Hu, V.P.-H. Projected Performance of Si- and 2D-Material-Based SRAM Circuits Ranging from 16 nm to 1 nm Technology Nodes. Nat. Nanotechnol. 2024, 19, 1066–1072. [Google Scholar] [CrossRef]
  137. Xie, M.; Jia, Y.; Nie, C.; Liu, Z.; Tang, A.; Fan, S.; Liang, X.; Jiang, L.; He, Z.; Yang, R. Monolithic 3D Integration of 2D Transistors and Vertical RRAMs in 1T–4R Structure for High-Density Memory. Nat. Commun. 2023, 14, 5952. [Google Scholar] [CrossRef]
Figure 1. Two-dimensional materials for the post-Moore transistor and integrated circuit technology.
Figure 1. Two-dimensional materials for the post-Moore transistor and integrated circuit technology.
Nanomaterials 14 01408 g001
Figure 2. A chronological diagram showing the progress of 2D semiconductor channel technology [38,39,40,41,42], transistor technology [8,18,33,34,36,43,44], and circuit technology [28,31,32,45,46].
Figure 2. A chronological diagram showing the progress of 2D semiconductor channel technology [38,39,40,41,42], transistor technology [8,18,33,34,36,43,44], and circuit technology [28,31,32,45,46].
Nanomaterials 14 01408 g002
Figure 3. (a) Band alignment of 2D semiconductor monolayers compared to Si and the Fermi level of common elemental metals. (b) Bandgap of 2D semiconductor materials; (c) Carrier mobility as the thickness of the channel semiconductor film reduces to below 1 nm at room temperature. Compared with silicon and germanium, 2D materials show high mobility at the sub-nanometer scale (Reproduced with permission from [5], John Wiley and Sons, 2022).
Figure 3. (a) Band alignment of 2D semiconductor monolayers compared to Si and the Fermi level of common elemental metals. (b) Bandgap of 2D semiconductor materials; (c) Carrier mobility as the thickness of the channel semiconductor film reduces to below 1 nm at room temperature. Compared with silicon and germanium, 2D materials show high mobility at the sub-nanometer scale (Reproduced with permission from [5], John Wiley and Sons, 2022).
Nanomaterials 14 01408 g003
Figure 4. Progress of wafer-scale synthesis of 2D semiconductors film. (a) Schematic of the selective single-domain synthesis strategy to address the limitations of conventional TMD growth. (Reproduced with permission from [78], Springer Nature, 2023.) (b) The step orientations on C/M and C/A sapphire (0001) wafers and the alignment of epitaxial MoS2 domains corresponding to these orientations. (c) Mapping of polarized second-harmonic generation (SHG) from two MoS2 domains merging on the C/A substrate. (d) SHG intensity polar plot with theoretical fittings for the two domains (reproduced with permission from [40], Springer Nature, 2021). (e) A diagram illustrating the MOCVD system and the deposition procedure. Precursors are introduced from the left side of the reaction chamber. The molybdenum precursor begins to decompose upon reaching the left side of Region I (250 °C). The sulfur precursor decomposes in Region II (temperatures above 550 °C) and then flows back into Region I to react with the decomposed molybdenum precursor, leading to MoS2 deposition on the target wafer. (f) Scanning electron microscope image of MoS2 synthesized after 30 min. The inset shows a high-resolution scanning transmission electron microscope image of the synthesized MoS2 domains, indicating no defect sites. (g) A benchmark comparison of the state-of-the-art electron mobility and the size of as-grown MoS2 films. The purple area represents the desired range for wafer-scale MoS2 synthesis. Green and red symbols indicate growth temperatures below 400 °C (reproduced with permission from [29], Springer Nature, 2023).
Figure 4. Progress of wafer-scale synthesis of 2D semiconductors film. (a) Schematic of the selective single-domain synthesis strategy to address the limitations of conventional TMD growth. (Reproduced with permission from [78], Springer Nature, 2023.) (b) The step orientations on C/M and C/A sapphire (0001) wafers and the alignment of epitaxial MoS2 domains corresponding to these orientations. (c) Mapping of polarized second-harmonic generation (SHG) from two MoS2 domains merging on the C/A substrate. (d) SHG intensity polar plot with theoretical fittings for the two domains (reproduced with permission from [40], Springer Nature, 2021). (e) A diagram illustrating the MOCVD system and the deposition procedure. Precursors are introduced from the left side of the reaction chamber. The molybdenum precursor begins to decompose upon reaching the left side of Region I (250 °C). The sulfur precursor decomposes in Region II (temperatures above 550 °C) and then flows back into Region I to react with the decomposed molybdenum precursor, leading to MoS2 deposition on the target wafer. (f) Scanning electron microscope image of MoS2 synthesized after 30 min. The inset shows a high-resolution scanning transmission electron microscope image of the synthesized MoS2 domains, indicating no defect sites. (g) A benchmark comparison of the state-of-the-art electron mobility and the size of as-grown MoS2 films. The purple area represents the desired range for wafer-scale MoS2 synthesis. Green and red symbols indicate growth temperatures below 400 °C (reproduced with permission from [29], Springer Nature, 2023).
Nanomaterials 14 01408 g004
Figure 6. Engineering of metal–2D semiconductor contacts and benchmark of high-performance n-channel and p-channel 2D FETs. (a) Illustration of the monolayer MoS2 FET structure with semi-metallic Sb ( 01 1 ¯ 2 ) . (b) Contact resistance (RC) as a function of electron carrier concentration (n2D) for 2D semiconductor FETs from the literature. The black solid line indicates the quantum limit for RC. (c) Comparison of the on-state current at Vds = 1 V of monolayer MoS2 FETs and Si planar FET, FinFET, GAAFET devices from the literature (reproduced with permission from [33], Springer Nature, 2023). (d) Atomic resolution ADF STEM images of Pd on multilayer MoS2, Pt on multilayer WSe2 (scale bar: 1 nm), and enlarged ADF STEM image with visible MoS2, WSe2, Pd and Pt atoms (scale bars: 5 Å). Comparison of drain current (e) and contact resistance (f) of WSe2 FETs with values reported in the literature using different methods (reproduced with permission from [34], Springer Nature, 2022).
Figure 6. Engineering of metal–2D semiconductor contacts and benchmark of high-performance n-channel and p-channel 2D FETs. (a) Illustration of the monolayer MoS2 FET structure with semi-metallic Sb ( 01 1 ¯ 2 ) . (b) Contact resistance (RC) as a function of electron carrier concentration (n2D) for 2D semiconductor FETs from the literature. The black solid line indicates the quantum limit for RC. (c) Comparison of the on-state current at Vds = 1 V of monolayer MoS2 FETs and Si planar FET, FinFET, GAAFET devices from the literature (reproduced with permission from [33], Springer Nature, 2023). (d) Atomic resolution ADF STEM images of Pd on multilayer MoS2, Pt on multilayer WSe2 (scale bar: 1 nm), and enlarged ADF STEM image with visible MoS2, WSe2, Pd and Pt atoms (scale bars: 5 Å). Comparison of drain current (e) and contact resistance (f) of WSe2 FETs with values reported in the literature using different methods (reproduced with permission from [34], Springer Nature, 2022).
Nanomaterials 14 01408 g006
Figure 8. Ultra-scaled 2D FETs for the next-generation integrated circuits. (a) Schematic of sidewall gate structure with a monolayer MoS2 channel and a 0.34 nm monolayer graphene edge gate. (b) MoS2 thickness-dependent IDS–VGr curve in the simulated sidewall transistor. (c) Time scale evolution of Lg, highlighting Lg scaling down to the atomic limit with CNT, 2DM, GAA, and SOI. (reproduced with permission from [23], Springer Nature, 2022). (d) Schematics and optical images of vertical lamination processes using a T-shape PDMS stamp laminated into the trench and the fabricated MoS2 vertical transistors post-lamination. (e) SEM images of vertical devices, with channel length and contact length fixed at 0.15 μm, channel width at 0.2 μm, source/drain electrodes at 20 nm thick Au, and an interlayer dielectric of 10 nm thick Al2O3. Insets show zoomed-in SEM images of vertical devices (reproduced with permission from [104], Springer Nature, 2024). (f) Schematic of the device structure of the MoS2 FET with air gaps. (g) False-colored SEM image of a MoS2 ring oscillator (RO) and FET structure. (h) Comparison of single-stage delay versus Lg for ROs fabricated from different semiconductor technology, with the red star indicating the measured result of the MoS2 RO at Vdd = 3.1 V (reproduced with permission from [15], Springer Nature, 2024).
Figure 8. Ultra-scaled 2D FETs for the next-generation integrated circuits. (a) Schematic of sidewall gate structure with a monolayer MoS2 channel and a 0.34 nm monolayer graphene edge gate. (b) MoS2 thickness-dependent IDS–VGr curve in the simulated sidewall transistor. (c) Time scale evolution of Lg, highlighting Lg scaling down to the atomic limit with CNT, 2DM, GAA, and SOI. (reproduced with permission from [23], Springer Nature, 2022). (d) Schematics and optical images of vertical lamination processes using a T-shape PDMS stamp laminated into the trench and the fabricated MoS2 vertical transistors post-lamination. (e) SEM images of vertical devices, with channel length and contact length fixed at 0.15 μm, channel width at 0.2 μm, source/drain electrodes at 20 nm thick Au, and an interlayer dielectric of 10 nm thick Al2O3. Insets show zoomed-in SEM images of vertical devices (reproduced with permission from [104], Springer Nature, 2024). (f) Schematic of the device structure of the MoS2 FET with air gaps. (g) False-colored SEM image of a MoS2 ring oscillator (RO) and FET structure. (h) Comparison of single-stage delay versus Lg for ROs fabricated from different semiconductor technology, with the red star indicating the measured result of the MoS2 RO at Vdd = 3.1 V (reproduced with permission from [15], Springer Nature, 2024).
Nanomaterials 14 01408 g008
Figure 9. Large-scale fabricated MoS2 FET array and circuit integration. (a) Microscope image of the microprocessor and the circuit schematics of a D-Latch and an ALU, with W/L ratio in units of μm/μm for each transistor (reproduced with permission from [31], Springer Nature, 2017). (b) Three-dimensional rendering of MoS2 FGFETs in a matrix array, with gate and drain contacts organized in rows, source signal applied to columns, gate signals on the left, and drain signals on the right. The inset shows the optical image of the memory matrix and vector–matrix multiplication signal correspondence (reproduced with permission from [32], Springer Nature, 2023). (c) Cross-sectional structure of the 1T–4R 3D VRRAMs, with insets showing the cross-sectional transmission electron microscope images of the top-plane VRRAMs (L3 and L4), the middle-plane 2D MoS2 FETs, and the bottom-plane (L1 and L2); the scale bars are 50 nm (reproduced with permission from [137], Springer Nature, 2023). (d) Optical micrographs of the AM micro-LED display and inset showing the 1T1D pixel; the scale bar is 5 μm (reproduced with permission from [28], Springer Nature, 2021). (e) Top view of false-colored SEM image of a three-tier 3D device stack, with color-coded contact pads (gate, source, and drain) representing tiers 1, 2, and 3 (reproduced with permission from [125], Springer Nature, 2024).
Figure 9. Large-scale fabricated MoS2 FET array and circuit integration. (a) Microscope image of the microprocessor and the circuit schematics of a D-Latch and an ALU, with W/L ratio in units of μm/μm for each transistor (reproduced with permission from [31], Springer Nature, 2017). (b) Three-dimensional rendering of MoS2 FGFETs in a matrix array, with gate and drain contacts organized in rows, source signal applied to columns, gate signals on the left, and drain signals on the right. The inset shows the optical image of the memory matrix and vector–matrix multiplication signal correspondence (reproduced with permission from [32], Springer Nature, 2023). (c) Cross-sectional structure of the 1T–4R 3D VRRAMs, with insets showing the cross-sectional transmission electron microscope images of the top-plane VRRAMs (L3 and L4), the middle-plane 2D MoS2 FETs, and the bottom-plane (L1 and L2); the scale bars are 50 nm (reproduced with permission from [137], Springer Nature, 2023). (d) Optical micrographs of the AM micro-LED display and inset showing the 1T1D pixel; the scale bar is 5 μm (reproduced with permission from [28], Springer Nature, 2021). (e) Top view of false-colored SEM image of a three-tier 3D device stack, with color-coded contact pads (gate, source, and drain) representing tiers 1, 2, and 3 (reproduced with permission from [125], Springer Nature, 2024).
Nanomaterials 14 01408 g009
Table 1. Comparison of electronic properties and transistor technology across Si, IGZO, CNT, and 2D MoS2.
Table 1. Comparison of electronic properties and transistor technology across Si, IGZO, CNT, and 2D MoS2.
MaterialBandgap (eV)Mobility (cm2V−1s−1)ION (μA/μm)On/Off RatioChannel LengthChannel ThicknessIntegration ScaleRef.
Si1.1210080010530 nm6 nm109 cm−2[25]
IGZO3.513.5101090.2~10 μm10~100 nm442 cm−2[132,133]
CNT0.4~0.8104101055 nm1 nm104[131,134]
MoS21.3~1.8321082 μm1.3 nm115[31]
70123010820 nm0.65 nm1[33]
/0.691050.34 nm0.65 nm1[23]
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Liang, M.; Yan, H.; Wazir, N.; Zhou, C.; Ma, Z. Two-Dimensional Semiconductors for State-of-the-Art Complementary Field-Effect Transistors and Integrated Circuits. Nanomaterials 2024, 14, 1408. https://doi.org/10.3390/nano14171408

AMA Style

Liang M, Yan H, Wazir N, Zhou C, Ma Z. Two-Dimensional Semiconductors for State-of-the-Art Complementary Field-Effect Transistors and Integrated Circuits. Nanomaterials. 2024; 14(17):1408. https://doi.org/10.3390/nano14171408

Chicago/Turabian Style

Liang, Meng, Han Yan, Nasrullah Wazir, Changjian Zhou, and Zichao Ma. 2024. "Two-Dimensional Semiconductors for State-of-the-Art Complementary Field-Effect Transistors and Integrated Circuits" Nanomaterials 14, no. 17: 1408. https://doi.org/10.3390/nano14171408

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop