Next Article in Journal
Enhanced Adsorption of Methylene Blue Dye on Functionalized Multi-Walled Carbon Nanotubes
Next Article in Special Issue
Improved Design of Slope-Shaped Hole-Blocking Layer and Electron-Blocking Layer in AlGaN-Based Near-Ultraviolet Laser Diodes
Previous Article in Journal
Nanocrystalline FeMnO3 Powder as Catalyst for Combustion of Volatile Organic Compounds
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Communication

Improved Vth Stability and Gate Reliability of GaN-Based MIS-HEMTs by Employing Alternating O2 Plasma Treatment

State Key Laboratory of ASIC and System, School of Microelectronics, Fudan University, Shanghai 200433, China
*
Author to whom correspondence should be addressed.
These authors contributed equally to this work.
Nanomaterials 2024, 14(6), 523; https://doi.org/10.3390/nano14060523
Submission received: 7 February 2024 / Revised: 9 March 2024 / Accepted: 13 March 2024 / Published: 14 March 2024

Abstract

:
The Vth stability and gate reliability of AlGaN/GaN metal–insulator–semiconductor high-electron-mobility transistors (MIS-HEMTs) with alternating O2 plasma treatment were systematically investigated in this article. It was found that the conduction band offset at the Al2O3/AlGaN interface was elevated to 2.4 eV, which contributed to the suppressed gate leakage current. The time-dependent dielectric breakdown (TDDB) test results showed that the ALD-Al2O3 with the alternating O2 plasma treatment had better quality and reliability. The AlGaN/GaN MIS-HEMT with the alternating O2 plasma treatment demonstrated remarkable advantages in higher Vth stability under high-temperature and long-term gate bias stress.

1. Introduction

AlGaN/GaN metal–insulator–semiconductor high electron-mobility transistors (MIS-HEMTs) have superior properties, including suppressed gate leakage current, large forward gate swing range [1,2], which is required by power switches in high-efficiency, high-speed power systems [3,4,5]. Different insulators (e.g., Al2O3, HfO2, SiO2, AlN and SiNx) [6,7,8] have been used as AlGaN/GaN MIS-HEMTs gate dielectric. The atomic layer-deposited (ALD) Al2O3 is more preferred because of its larger conduction band offset, high dielectric constant and high breakdown field values [9,10,11]. However, it has been reported that there is a large amount of hydroxyl (-OH) groups in ALD-Al2O3 [12,13] that use trimethylaluminum (TMA) and water as precursors. These -OH groups act as trap states and cause the AlGaN/GaN MIS-HEMTs to suffer from serious gate reliability and threshold voltage (Vth) instability challenge [14,15].
It is suggested that using O3 as an oxidant during the deposition process of Al2O3 can improve device performance [16], but the carbon impurity in Al2O3 film increases [17]. It has been reported that there is less trap state density in the O2 plasma-assisted ALD-Al2O3 film [18,19]. It has been reported that adding O2 plasma in each ALD cycle can improve Al2O3 film quality [20]. However, the AlGaN surface can be damaged by O2 plasma at the initial stage of Al2O3 film deposition, since the O2 plasma can introduce deep-level traps at the AlGaN surface, which leads to device performance degradation and current collapse [21]. Meanwhile, there is little research on the threshold stability and gate reliability of the ALD-Al2O3 gate dielectric. We have already characterized the trap states and performance of the device with alternating O2 plasma treatment in our previous articles [22]. In this work, the Vth stability and gate reliability characteristics of the AlGaN/GaN MIS-HEMTs with the alternating O2 plasma treatment were investigated.

2. Device Structure and Fabrication Process

The AlGaN/GaN MIS-HEMTs were fabricated on the AlGaN/GaN heterostructure epitaxial sample, which was grown by metal–organic chemical vapor deposition (MOCVD). It consists of a 20 nm undoped Al0.23Ga0.77N barrier layer, 180 nm unintentionally doped GaN channel layer and 5.1 μm C-doped GaN buffer layer grown using MOCVD on a 6-inch Si (111) substrate. Figure 1a shows the schematic cross-sectional illustration of the AlGaN/GaN MIS-HEMTs. The AlGaN/GaN MIS-HEMTs process began with AlN/SiNx passivation layer deposition. The device active region was isolated by mesa etching using BCl3/Ar. Then, a Ti/Al/Ni/Au metal stack with a thickness of 20/160/50/50 nm was deposited by Electron Beam Evaporation (EBE) on the source/drain region, and ohmic contact was achieved by rapid thermal process (RTP) at 780 °C for 30 s in N2 ambient. The transfer length method (TLM) test results show that the contact resistance was 1Ω·mm. The ALD-Al2O3 gate dielectrics with and without the alternating O2 plasma treatment were deposited and denoted as devices A and B, respectively. Finally, Ni/Au metal stack was deposited for the gate electrode.
The schematic process flow of depositing ALD-Al2O3 film with the alternating O2 plasma treatment is shown in Figure 1b. The entire depositing process was carried out using a Sentech SI ALD system. The deposition process consisted of a cycle of two sub-processes. Sub-process one: 4 nm ALD-Al2O3 was deposited with TMA and H2O as precursors. Sub-process two: The film deposited in sub-process one was treated with in situ O2 plasma for 2 min. The O2 gas flow was 100 sccm, gas pressure was 15 Pa, and the plasma power was 100 W. Throughout the process, the substrate temperature was maintained at 300 °C. Sub-process one and sub-process two were repeated five times. Finally, a 20 nm ALD-Al2O3 film with alternating O2 plasma treatment was obtained. It is worth noting that the deposited 4 nm ALD-Al2O3 film could serve as a protective layer on the AlGaN surface to prevent the O2 plasma damage [23].

3. Results and Discussion

Figure 2 exhibits the atomic force microscopy (AFM) image of the Al2O3 film surface with an area of 2 µm × 2 µm. For the Al2O3 film with and without the alternating O2 plasma treatment, the root mean square (RMS) of surface roughness is 0.094 nm and 0.096 nm, respectively. This indicates that the alternating O2 plasma treatment will not have adverse effects on the surface morphology of the Al2O3 film.
The gate leakage current density of the device is illustrated in Figure 3a. The gate leakage density of device A significantly decreased compared with that of device B. The breakdown voltage of device A also improved. In order to explore the reasons for the reduction of gate leakage in device A, the gate leakage mechanism was analyzed. Considering that ALD-Al2O3 has good quality, Fowler–Nordheim (FN) tunneling was believed to be the dominant gate leakage mechanism [24]. The effective barrier width of the dielectric narrowed under the forward gate voltage, and driven by the electric field in the gate dielectric, electrons at the Al2O3/AlGaN interface could directly tunnel through the gate dielectric. Leakage current by FN tunneling is illustrated in Figure 3b, which can be expressed as
J F N = q 2 16 π φ o x E o x 2 e x p ( 4 2 m * ( q φ o x ) 3 3 q E o x )
where q is the charge of electrons, is the Planck’s constant, φ o x is the conduction band offset at Al2O3/AlGaN interface, E o x is the electric field strength in Al2O3 gate dielectric, m * is the effective electron mass in Al2O3, and 0.23   m 0 of an electron mass was used for the Al2O3 film [19]. The FN plots of log ( J / E o x 2 ) versus 1 / E o x were straight lines, as shown in Figure 3b, indicating that FN tunneling was the dominant gate leakage mechanism under a high electric field. The linear slope was used to extract the conduction band offset at the Al2O3/AlGaN interface, which were 2.40 and 1.87 eV, respectively, for devices A and B. The lower gate leakage current density of device A was attributed to the higher conduction band offset at the Al2O3/AlGaN interface. The conduction band offset for device A was larger than the value of 2.2 eV in Ref. [25].
Time-dependent dielectric breakdown (TDDB) is one of the most common characterization methods for evaluating gate dielectric reliability [26]. The testing process of TDDB involves applying a constant bias stress on the gate dielectric for a long time, and monitoring the variation in leakage current passing through the dielectric layer. The quality of the gate dielectric can be evaluated using the magnitude of leakage and the time to breakdown (tBD) under the same gate bias stress. The reasons for leakage current and breakdown of the gate dielectric are as follows. There are defects inside the gate dielectric at the initial state, and these defects are mainly bulk defects formed during the sedimentation process. Applying electrical stress to the gate dielectric can induce random defects within the gate dielectric, causing leakage current. In addition, when electrons accelerate through the gate dielectric, it can also cause damage to the gate dielectric and form new defects. When the defects form a continuous seepage path inside the gate dielectric, the leakage current rapidly increases and the gate dielectric layer undergoes breakdown. High electrical stress will accelerate the generation of defects, generate higher leakage current, and thus accelerate the breakdown process of the gate dielectric. Due to the different breakdown voltages for device A and device B, two sets of gate bias were used to stress the devices A and B, respectively. The time-dependent gate breakdown characteristics are shown in Figure 4a,b. The tBD for gate dielectric at different gate voltages statistically obey the Weibull distribution, which can be described by [27]
F ( t ) = 1 e x p [ ( t η ) β ]
where t is the gate voltage application time, β is the Weibull slope, and η is the characteristic lifespan or scale factor.
The Weibull failure distribution is linearly simplified as follows:
l n [ l n ( 1 F ( t ) ) ] = β l n ( t ) β l n ( η )
A larger β indicates a more concentrated distribution of tBD in the breakdown characteristic [28]. Figure 4c,d shows the Weibull plots of the tBD distribution for devices A and B. Weibull slope β was extracted and found to be 5 and 4.5 for devices A and B, which indicated that ALD-Al2O3 with alternating O2 plasma treatment has better quality and reliability. These results were larger than the value of 4.45 in Ref. [29], although Al2O3 had a thicker thickness (25 nm).
The Vth instability induced by high-temperature operation and long-term gate stress limits the commercial application of AlGaN/GaN MIS-HEMTs. To investigate the thermal stability of Vth, the transfer characteristic curves of device A and device B at various temperatures from 30 °C to 150 °C in steps of 30 °C were measured, as is shown in Figure 5. The OFF-state drain current increased by about two orders of magnitude as a result of increased buffer leakage current [30]. The ON-state IDS decreased slightly due to the lower carrier mobility at higher temperatures [31].
Figure 6 shows the temperature-dependence Vth shift (ΔVth) for devices A and B. The device A demonstrated a better Vth thermal stability and the maximum ΔVth of 0.24 V was achieved at 150 °C at the IDS level of 1 μA/mm, less than that of 0.55 V for device B at 150 °C. However, ΔVth in Ref. [30] is larger than 1V at the same test temperature.
To assess the Vth stability of the device under long-time gate bias stress, the forward gate bias stress (VG_stress) of 2 V was applied to the gate with source and drain grounded. A quick ID-VGS test was conducted after certain interval times (1, 5, 10, 20, 40, 60, 80, 100, 200, 400, 500, 600, 800, 1000, 2000, and 3000 s). Figure 7 shows the multiple ID-VGS curves throughout the entire testing process. The ID-VGS curves positively shift under the forward gate bias stress, which corresponded to electrons in the channel being trapped [32]. During the forward gate bias stress application process, the electric field in the AlGaN barrier layer is very high, especially at the edge of the gate. A strong electric field can cause electrons to tunnel from the defects in the AlGaN barrier layer to the valence band, which is known as Zener trapping. Electrons in 2DEG are then emitted into the defects, causing a decrease in electron concentration in the channel and a positive shift in the Vth.
As shown in Figure 8, the extracted ΔVth after the 3000 s gate bias stress of 2 V were 0.55 V and 0.88 V for devices A and B, respectively. Device A showed a relatively small ΔVth compared to device B. This indicated that the trap state density in the dielectric was reduced by the alternating O2 plasma treatment [15]. Furthermore, subthreshold slope (SS) did not show any significant changes after long-time gate bias stress for both devices.

4. Conclusions

The Vth stability and gate reliability of the AlGaN/GaN MIS-HEMTs with alternating O2 plasma treatment were investigated in this article. The conduction band offset at the Al2O3/AlGaN interface was elevated to 2.4 eV after the alternating O2 plasma treatment, and hence resulted in lower gate leakage current density. The gate dielectric reliability was also improved, which was characterized by the TDDB test. The device with the alternating O2 plasma treatment also showed improved thermal stability of Vth and long-time gate bias induced Vth instability. The proposed O2 plasma alternating treatment technique was found to exhibit superior performance, which is highly desirable in high-performance and reliable power devices.

Author Contributions

Conceptualization, X.X. and Q.W.; methodology, X.X. and Q.W.; validation, M.X., P.Z., L.W. and M.P.; formal analysis, Q.W. and M.P.; investigation, X.X. and P.Z.; resources, Q.W.; data curation, Y.Y., H.H. and X.H.; writing—original draft preparation, X.X. and Q.W.; writing—review and editing, M.X., Y.Y. and P.Z.; visualization, Y.Y., H.H. and X.H.; supervision, M.X.; project administration, M.X.; funding acquisition, M.X. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

Data are contained within the article.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Zhou, Q.; Liu, L.; Zhang, A.B.; Chen, B.W.; Jin, Y.; Shi, Y.Y.; Wang, Z.H.; Chen, W.J.; Zhang, B. 7.6 V Threshold Voltage High-Performance Normally-Off Al2O3/GaN MOSFET Achieved by Interface Charge Engineering. IEEE Electron. Device Lett. 2016, 37, 165–168. [Google Scholar] [CrossRef]
  2. Abermann, S.; Pozzovivo, G.; Kuzmik, J.; Strasser, G.; Pogany, D.; Carlin, J.F.; Grandjean, N.; Bertagnolli, E. MOCVD of HfO2 and ZrO2 high-k gate dielectrics for InAlN/AlN/GaN MOS-HEMTs. Semicond. Sci. Technol. 2007, 22, 1272–1275. [Google Scholar] [CrossRef]
  3. De Jaeger, B.; Van Hove, M.; Wellekens, D.; Kang, X.; Liang, H.; Mannaert, G.; Geens, K.; Decoutere, S. Au-free CMOS-compatible AlGaN/GaN HEMT processing on 200 mm Si substrates. In Proceedings of the 24th International Symposium on Power Semiconductor Devices and ICs (ISPSD), Bruges, Belgium, 3–7 June 2012; pp. 49–52. [Google Scholar]
  4. Van Hove, M.; Boulay, S.; Bahl, S.R.; Stoffels, S.; Kang, X.; Wellekens, D.; Geens, K.; Delabie, A.; Decoutere, S. CMOS Process-Compatible High-Power Low-Leakage AlGaN/GaN MISHEMT on Silicon. IEEE Electron. Device Lett. 2012, 33, 667–669. [Google Scholar] [CrossRef]
  5. Chen, K.J.; Haberlen, O.; Lidow, A.; Tsai, C.L.; Ueda, T.; Uemoto, Y.; Wu, Y.F. GaN-on-Si Power Technology: Devices and Applications. IEEE Trans. Electron. Devices 2017, 64, 779–795. [Google Scholar] [CrossRef]
  6. Dutta, G.; DasGupta, N.; DasGupta, A. Low-Temperature ICP-CVD SiNx as Gate Dielectric for GaN-Based MIS-HEMTs. IEEE Trans. Electron. Devices 2016, 63, 4693–4701. [Google Scholar] [CrossRef]
  7. Nabatame, T.; Maeda, E.; Inoue, M.; Yuge, K.; Hirose, M.; Shiozaki, K.; Ikeda, N.; Ohishi, T.; Ohi, A. Hafnium silicate gate dielectrics in GaN metal oxide semiconductor capacitors. Appl. Phys. Express 2019, 12, 011009. [Google Scholar] [CrossRef]
  8. Zhu, J.-J.; Ma, X.-H.; Xie, Y.; Hou, B.; Chen, W.-W.; Zhang, J.-C.; Hao, Y. Improved Interface and Transport Properties of AlGaN/GaN MIS-HEMTs with PEALD-Grown AlN Gate Dielectric. IEEE Trans. Electron. Devices 2015, 62, 512–518. [Google Scholar] [CrossRef]
  9. Freedsman, J.J.; Kubo, T.; Egawa, T. High Drain Current Density E-Mode Al2O3/AlGaN/GaN MOS-HEMT on Si With Enhanced Power Device Figure-of-Merit (4 × 108 V2 Ω−1cm−2). IEEE Trans. Electron. Devices 2013, 60, 3079–3083. [Google Scholar] [CrossRef]
  10. Huang, S.; Yang, S.; Roberts, J.; Chen, K.J. Threshold Voltage Instability in Al2O3/GaN/AlGaN/GaN Metal-Insulator-Semiconductor High-Electron Mobility Transistors. Jpn. J. Appl. Phys. 2011, 50, 110202. [Google Scholar] [CrossRef]
  11. Ye, P.D.; Yang, B.; Ng, K.K.; Bude, J.; Wilk, G.D.; Halder, S.; Hwang, J.C.M. GaN metal-oxide-semiconductor high-electron-mobility-transistor with atomic layer deposited Al2O3 as gate dielectric. Appl. Phys. Lett. 2005, 86, 063501. [Google Scholar] [CrossRef]
  12. Kubo, T.; Freedsman, J.J.; Iwata, Y.; Egawa, T. Electrical properties of GaN-based metal-insulator-semiconductor structures with Al2O3 deposited by atomic layer deposition using water and ozone as the oxygen precursors. Semicond. Sci. Technol. 2014, 29, 045004. [Google Scholar] [CrossRef]
  13. Kang, M.-J.; Eom, S.-K.; Kim, H.-S.; Lee, C.-H.; Cha, H.-Y.; Seo, K.-S. Normally-off recessed-gate AlGaN/GaN MOS-HFETs with plasma enhanced atomic layer deposited AlOxNy gate insulator. Semicond. Sci. Technol. 2019, 34, 055018. [Google Scholar] [CrossRef]
  14. Liu, C.; Wang, H.X.; Yang, S.; Lu, Y.Y.; Liu, S.H.; Tang, Z.K.; Jiang, Q.M.; Huang, S.; Chen, K.J. Normally-off GaN MIS-HEMT with Improved Thermal Stability in DC and Dynamic Performance. In Proceedings of the 27th International Symposium on Power Semiconductor Devices and ICs (ISPSD), Hong Kong, China, 10–14 May 2015; pp. 213–216. [Google Scholar]
  15. Lagger, P.; Ostermaier, C.; Pobegen, G.; Pogany, D. Towards Understanding the Origin of Threshold Voltage Instability of AlGaN/GaN MIS-HEMTs. In Proceedings of the IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 10–13 December 2012. [Google Scholar]
  16. Tokuda, H.; Asubar, J.T.; Kuzuhara, M. AlGaN/GaN metal-insulator-semiconductor high-electron mobility transistors with high on/off current ratio of over 5 × 1010 achieved by ozone pretreatment and using ozone oxidant for Al2O3 gate insulator. Jpn. J. Appl. Phys. 2016, 55, 120305. [Google Scholar] [CrossRef]
  17. Shibata, T.; Uenuma, M.; Yamada, T.; Yoshitsugu, K.; Higashi, M.; Nishimura, K.; Uraoka, Y. Effects of carbon impurity in ALD-Al2O3 film on HAXPES spectrum and electrical properties of Al2O3/AlGaN/GaN MIS structure. Jpn. J. Appl. Phys. 2022, 61, 065502. [Google Scholar] [CrossRef]
  18. Schiliro, E.; Fiorenza, P.; Greco, G.; Monforte, F.; Condorelli, G.G.; Roccaforte, F.; Giannazzo, F.; Lo Nigro, R. Early Growth Stages of Aluminum Oxide (Al2O3) Insulating Layers by Thermal- and Plasma-Enhanced Atomic Layer Deposition on AlGaN/GaN Heterostructures. ACS Appl. Electron. Mater. 2022, 4, 406–415. [Google Scholar] [CrossRef]
  19. Jinesh, K.B.; van Hemmen, J.L.; van de Sanden, M.C.M.; Roozeboom, F.; Klootwijk, J.H.; Besling, W.F.A.; Kessels, W.M.M. Dielectric Properties of Thermal and Plasma-Assisted Atomic Layer Deposited Al2O3 Thin Films. J. Electrochem. Soc. 2011, 158, G21–G26. [Google Scholar] [CrossRef]
  20. Wang, H.-C.; Hsieh, T.-E.; Lin, Y.-C.; Luc, Q.H.; Liu, S.-C.; Wu, C.-H.; Dee, C.F.; Majlis, B.Y.; Chang, E.Y. AlGaN/GaN MIS-HEMTs With High Quality ALD-Al2O3 Gate Dielectric Using Water and Remote Oxygen Plasma as Oxidants. IEEE J. Electron. Devices Soc. 2018, 6, 110–115. [Google Scholar] [CrossRef]
  21. Tajima, M.; Kotani, J.; Hashizume, T. Effects of Surface Oxidation of AlGaN on DC Characteristics of AlGaN/GaN High-Electron-Mobility Transistors. Jpn. J. Appl. Phys. 2009, 48, 020203. [Google Scholar] [CrossRef]
  22. Wang, Q.; Pan, M.; Zhang, P.; Wang, L.; Yang, Y.; Xie, X.; Huang, H.; Hu, X.; Xu, M. O2 Plasma Alternately Treated ALD-Al2O3 as Gate Dielectric for High Performance AlGaN/GaN MIS-HEMTs. IEEE Access 2024, 12, 16089–16094. [Google Scholar] [CrossRef]
  23. Ozaki, S.; Ohki, T.; Kanamura, M.; Okamoto, N.; Kikkawa, T. Effect of Atomic-Layer-Deposition Method on Threshold Voltage Shift in AlGaN/GaN Metal-Insulator-Semiconductor High Electron Mobility Transistors. Jpn. J. Appl. Phys. 2013, 52, 11NG04. [Google Scholar] [CrossRef]
  24. Guo, H.; Shao, P.; Zeng, C.; Bai, H.; Wang, R.; Pan, D.; Chen, P.; Chen, D.; Lu, H.; Zhang, R.; et al. Improved LPCVD-SiNx/AlGaN/GaN MIS-HEMTs by using in-situ MOCVD-SiNx as an interface sacrificial layer. Appl. Surf. Sci. 2022, 590, 153086. [Google Scholar] [CrossRef]
  25. Hori, Y.; Mizue, C.; Hashizume, T. Process Conditions for Improvement of Electrical Properties of Al2O3/n-GaN Structures Prepared by Atomic Layer Deposition. Jpn. J. Appl. Phys. 2010, 49, 080201. [Google Scholar] [CrossRef]
  26. Hua, M.Y.; Liu, C.; Yang, S.; Liu, S.H.; Fu, K.; Dong, Z.H.; Cai, Y.; Zhang, B.S.; Chen, K.J. Characterization of Leakage and Reliability of SiNx Gate Dielectric by Low-Pressure Chemical Vapor Deposition for GaN-based MIS-HEMTs. IEEE Trans. Electron. Devices 2015, 62, 3215–3222. [Google Scholar] [CrossRef]
  27. Zhang, Z.L.; Yu, G.H.; Zhang, X.D.; Deng, X.G.; Li, S.M.; Fan, Y.M.; Sun, S.C.; Song, L.; Tan, S.X.; Wu, D.D.; et al. Studies on High-Voltage GaN-on-Si MIS-HEMTs Using LPCVD Si3N4 as Gate Dielectric and Passivation Layer. IEEE Trans. Electron. Devices 2016, 63, 731–738. [Google Scholar] [CrossRef]
  28. Wu, T.L.; Marcon, D.; Zahid, M.B.; Van Hove, M.; Decoutere, S.; Groeseneken, G. Comprehensive Investigation of On-State Stress on D-Mode AlGaN/GaN MIS-HEMTs. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS), Anaheim, CA, USA, 14–18 April 2013. [Google Scholar]
  29. Bisi, D.; Chan, S.H.; Tahhan, M.; Koksaldi, O.S.; Keller, S.; Meneghini, M.; Meneghesso, G.; Zanoni, E.; Mishra, U.K. Quality and Reliability of in-situ Al2O3 MOS capacitors for GaN-based Power Devices. In Proceedings of the 28th International Symposium on Power Semiconductor Devices and ICs (ISPSD), Prague, Czech Republic, 12–16 June 2016; pp. 119–122. [Google Scholar]
  30. Yang, S.; Liu, S.; Liu, C.; Tang, Z.; Lu, Y.; Chen, K.J. Thermally Induced Threshold Voltage Instability of III-Nitride MIS-HEMTs and MOSC-HEMTs: Underlying Mechanisms and Optimization Schemes. In Proceedings of the 60th Annual IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 15–17 December 2014. [Google Scholar]
  31. Husna, F.; Lachab, M.; Sultana, M.; Adivarahan, V.; Fareed, Q.; Khan, A. High-Temperature Performance of AlGaN/GaN MOSHEMT With SiO2 Gate Insulator Fabricated on Si (111) Substrate. IEEE Trans. Electron. Devices 2012, 59, 2424–2429. [Google Scholar] [CrossRef]
  32. Meneghesso, G.; Meneghini, M.; De Santi, C.; Ruzzarin, M.; Zanoni, E. Positive and negative threshold voltage instabilities in GaN-based transistors. Microelectron. Reliab. 2018, 80, 257–265. [Google Scholar] [CrossRef]
Figure 1. (a) Schematic cross-sectional illustration of the AlGaN/GaN MIS-HEMT. (b) Schematic process flow of depositing ALD-Al2O3 film with the alternating O2 plasma treatment.
Figure 1. (a) Schematic cross-sectional illustration of the AlGaN/GaN MIS-HEMT. (b) Schematic process flow of depositing ALD-Al2O3 film with the alternating O2 plasma treatment.
Nanomaterials 14 00523 g001
Figure 2. (a,b) 2 µm × 2 µm surface morphology of the ALD-Al2O3.
Figure 2. (a,b) 2 µm × 2 µm surface morphology of the ALD-Al2O3.
Nanomaterials 14 00523 g002
Figure 3. (a) Gate leakage current density characteristic and (b) FN tunneling plot of log ( J / E o x 2 ) versus 1 / E o x for device A and device B.
Figure 3. (a) Gate leakage current density characteristic and (b) FN tunneling plot of log ( J / E o x 2 ) versus 1 / E o x for device A and device B.
Nanomaterials 14 00523 g003
Figure 4. (a,b) tBD of device A and device B. (c,d) Weibull plots of the tBD distribution for device A and device B.
Figure 4. (a,b) tBD of device A and device B. (c,d) Weibull plots of the tBD distribution for device A and device B.
Nanomaterials 14 00523 g004
Figure 5. (a,b) Temperature-dependent ID-VGS characteristics of device A and device B with the measurement temperature increasing from 30 to 150 °C.
Figure 5. (a,b) Temperature-dependent ID-VGS characteristics of device A and device B with the measurement temperature increasing from 30 to 150 °C.
Nanomaterials 14 00523 g005
Figure 6. The measured temperature-dependent ΔVth for device A and device B.
Figure 6. The measured temperature-dependent ΔVth for device A and device B.
Nanomaterials 14 00523 g006
Figure 7. (a,b) Multiple ID-VGS characteristics of the MIS-HEMTs during the 3000 s gate bias stress of 2 V for device A and device B.
Figure 7. (a,b) Multiple ID-VGS characteristics of the MIS-HEMTs during the 3000 s gate bias stress of 2 V for device A and device B.
Nanomaterials 14 00523 g007
Figure 8. The measured ΔVth during the 3000 s forward gate bias stress for device A and device B.
Figure 8. The measured ΔVth during the 3000 s forward gate bias stress for device A and device B.
Nanomaterials 14 00523 g008
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Xie, X.; Wang, Q.; Pan, M.; Zhang, P.; Wang, L.; Yang, Y.; Huang, H.; Hu, X.; Xu, M. Improved Vth Stability and Gate Reliability of GaN-Based MIS-HEMTs by Employing Alternating O2 Plasma Treatment. Nanomaterials 2024, 14, 523. https://doi.org/10.3390/nano14060523

AMA Style

Xie X, Wang Q, Pan M, Zhang P, Wang L, Yang Y, Huang H, Hu X, Xu M. Improved Vth Stability and Gate Reliability of GaN-Based MIS-HEMTs by Employing Alternating O2 Plasma Treatment. Nanomaterials. 2024; 14(6):523. https://doi.org/10.3390/nano14060523

Chicago/Turabian Style

Xie, Xinling, Qiang Wang, Maolin Pan, Penghao Zhang, Luyu Wang, Yannan Yang, Hai Huang, Xin Hu, and Min Xu. 2024. "Improved Vth Stability and Gate Reliability of GaN-Based MIS-HEMTs by Employing Alternating O2 Plasma Treatment" Nanomaterials 14, no. 6: 523. https://doi.org/10.3390/nano14060523

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop