Next Article in Journal
Effect of the Cu Source on Optical Properties of CuZnO Films Deposited by Ultrasonic Spraying
Previous Article in Journal
Review of Plasmonic Nanocomposite Metamaterial Absorber
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Effect of Sample Elevation in Radio Frequency Plasma Enhanced Chemical Vapor Deposition (RF PECVD) Reactor on Optical Properties and Deposition Rate of Silicon Nitride Thin Films

by
Mateusz Śmietana
*,
Robert Mroczyński
and
Norbert Kwietniewski
Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, Warsaw 00-662, Poland
*
Author to whom correspondence should be addressed.
Materials 2014, 7(2), 1249-1260; https://doi.org/10.3390/ma7021249
Submission received: 11 December 2013 / Revised: 27 January 2014 / Accepted: 12 February 2014 / Published: 17 February 2014

Abstract

: In this paper we investigate influence of radio frequency plasma enhanced chemical vapor deposition (RF PECVD) process parameters, which include gas flows, pressure and temperature, as well as a way of sample placement in the reactor, on optical properties and deposition rate of silicon nitride (SiNx) thin films. The influence of the process parameters has been determined using Taguchi’s orthogonal tables approach. As a result of elevating samples above the electrode, it has been found that deposition rate strongly increases with distance between sample and the stage electrode, and reaches its maximum 7 mm above the electrode. Moreover, the refractive index of the films follows increase of the thickness. The effect can be observed when the thickness of the film is below 80 nm. It has been also found that when the deposition temperature is reduced down to 200 °C, as required for many temperature-sensitive substrate materials, the influence of the substrate material (Si or oxidized Si) can be neglected from the point of view of the properties of the films. We believe that the obtained results may help in designing novel complex in shape devices, where optical properties and thickness of thin plasma-deposited coatings need to be well defined.

1. Introduction

Thin films deposited on various materials and shapes are demanded for number of novel applications. In many cases, the properties of the films do not have to be precisely controlled, especially when the films just protect or passivate the substrate. However, there are also many applications where properties of the films must be controlled in nanometric scale, and what is even more challenging, on objects with complex shapes, including MEMS devices [1], sophisticated photonic devices [2] or optical fibers and sensors [3]. Such capability for uniform deposition on various substrate shapes is offered by the self assembling monolayer (SAM) deposition method, which relies on liquid precursors [4]. The other method offering well-controlled in properties in thin films is atomic layer deposition (ALD) which in turn employs gas precursors [5,6]. Unfortunately, both the methods are relatively time-consuming and limited in materials that can be deposited with them.

In our experiment we have investigated the capability of radio-frequency plasma-enhanced chemical vapor deposition (RF PECVD) in parallel electrode configuration for deposition of thin films on substrates elevated in the plasma reactor which can be later considered as a reference for high objects with different shape, and for those samples where deposition of the film on the bottom surface is expected [3]. The RF PECVD method is well established for standard silicon-integrated-circuit technology where typically flat wafers are used as the substrates. There is an undoubted set of advantages of the method, including, e.g., the possibility of low-cost film fabrication and high efficiency of deposition. The materials can also be deposited as graded-refractive-index films or as a stack of nano-films each with different properties [7]. The growth of the film is due to activation of the gas-phase precursors in a glow-discharge (plasma) environment. The chemical reactions activated by the plasma take place over the substrate, as well as at the substrate. There have been already reported results on uniformity of silicon carbide coating deposited with RF PECVD method on complex shapes placed on the cathode [8]. The difference in thickness of the film deposited on various sides of the coated object has been reported to reach 10%, but the experiment was performed in different electrode configuration and the substrates were placed directly on the cathode.

As a deposited material were chosen silicon nitride (SiNx) thin films. The SiNx films have already enjoy wide application in both electronic and optical systems [924]. These amorphous films exhibit excellent adhesion to Si and SiO2, and stand as a good diffusion barrier against water molecules and sodium ions, two major sources of corrosion and instability in microelectronics [13]. Moreover, the material exhibits good chemical stability and inertness, the qualities which are important in the design of reliable biochemical and biomedical devices [14]. The films also show high values of hardness (~19 GPa) and Young’s modulus (~150 GPa), values that are respectively 2–5 and 3 times higher than those of SiO2 [15]. The SiNx films have a high refractive index, which can be adjusted from that of Si3N4 (nD = 2) to that of amorphous silicon (nD = 3.5). Moreover, the films show very low optical absorption in visible and the infrared spectral range. The well-controlled thickness and optical properties of the films deposited on flat surface allowed for their application as an optimum, single-layer antireflecting and protective coating for silicon solar cells [11,16], fabrication of various types of optical waveguides and planar optical systems [7,13,19], and design of novel photonic and optoelectronic devices [2,12,2022]. In our previous works there has been discussed the application of the SiNx films as overlays for several types of optical fiber sensors where nano-overlay modifies conditions for light propagation in the structures resulting in tuning of their response [3,23,24]. For such an optical sensing application, the determination of the overlay thickness and optical properties of the films on samples held above the electrode is crucial. In order to improve the uniformity of the coating, the samples must be elevated, allowing for plasma activity all over the samples. When the sample is placed directly on the electrode, physical contact between them makes the deposition on the sample’s bottom less effective.

In this work we discuss the effect of sample elevation in plasma reactor on properties of the obtained films. The investigations are essential for, e.g., precise tuning of the functional properties of new generations of optical devices, such as optical sensors, filters and resonators. Uniform deposition all around the samples require their suspension and simultaneously well defined properties of the overlays are expected. We believe that results of this work can be also useful when design of nano-coated devices on thick substrates or multilayer structures are considered.

2. Experimental Details

SiNx layers were fabricated by PECVD method in an Oxford PlasmaLab System 80+ (Oxford Instruments, Abingdon, UK). The PECVD process takes place in parallel plate RF-plasma (13.56 MHz) reactor where distance between the electrodes is 18 mm. In the course of this work SiNx films were deposited on p-type silicon and thermally oxidized silicon wafers (oxide thickness 460 nm) in order to investigate influence of substrate material on deposition rate, as well as optical properties of the films. To remove organic and metallic residual contaminants silicon wafers were cleaned prior the processing within standard Radio Corporation of America (RCA) cleaning solutions [25]. After the cleaning, substrates were fully rinsed and immersed in high-purity dionized water. Horiba Jobin-Yvon UVSEL spectroscopic ellipsometer (Horiba Scientific, Edison New Jersey, NJ, USA) with the wavelength ranging from 250 to 750 nm was used to determine the thickness (d) and optical properties of investigated SiNx films, i.e., their refractive index (n) and extinction coefficient (k) [26,27]. To fit the measurement data to a physical model, a three-layer model (Si wafer/SiO2/SiNx) was used where a single-layer Tauc-Lorentz dispersion formula [28] of SiNx film was applied and fitted with mean-square error χ2 < 5.

For the purposes of this work a special sample holder was designed which allowed for easy and firm elevation of the wafers in the reactor chamber at precisely adjusted height above the electrode during the deposition process. The sample holder is shown in Figure 1. A 3-inch silicon wafer was placed on the holder substrate for preserving its surface uniformity.

3. Results and Discussion

3.1. Influence of Deposition Process Parameters

A set of deposition processes was performed first with Si wafers placed directly on the electrode. The aim of this part of the experiment was to find an influence of each input process parameters on d and optical properties of the films. In order to minimize the number of the deposition processes, we used Taguchi’s orthogonal arrays approach [29]. Application of the method also allows for simple investigation and analysis of the relations between the input parameters and deposition results. We investigated here the influence of deposition process parameters, i.e., the temperature of the electrode (T), SiH4 and NH3 flows (fSiH4 and fNH3), and pressure in the deposition chamber (p) on n, k and d of the obtained films. The values were determined according to Taguchi’s approach [30]. The 3n series array applied in this experiment is adopted from [30] where three levels (values) and four factors (parameters) are discussed. Such an approach requires nine experiments to be performed. Responses (results of the experiments) are analyzed by combining the data associated with each level for each factor (column). The difference in the average results for each level is the measure of the effect of that factor. Table 1 shows values of the RF PECVD process parameters applied for each process.

After the first set of deposition processes, d and optical properties of the deposited SiNx films have been measured. Spectroscopy ellipsometry measurements have shown that d of SiNx films depending on the process parameters is in the range from 51.1 to 72.5 nm, which corresponds to the deposition rate from 10.2 to 14.5 nm/min, respectively. It has to be emphasized that Figure 2 is prepared according to the analysis of obtained results with Taguchi’s method, and represents only the influence of the deposition parameters on films properties. The analysis has demonstrated that the most significant influence on d have fSiH4 and fNH3, which cause its increase and decrease, respectively (Figure 2c,d). The impact of other examined process parameters is less significant. The increase of T and p is followed by slight decrease and increase of d, respectively (Figure 2a,b).

For application of the SiNx films in optical devices besides d, their optical parameters, such as n and k are of high importance. Therefore, the influence of the process parameters on the optical properties of PECVD SiNx films has been also examined. Similarly to d analysis, in the case of n, the most observable influence can be attributed again to reactive gas flows. The relation follows the trends as for d. However, the influence of T and p on n is opposite than for d, i.e., n increases with T and decreases with p. It can be seen in Figure 3 that k, which corresponds to optical absorption, follows changes of n. For lower fSiH4 and the higher fNH3, the value of n approaches 2.0 (at λ = 630 nm), which corresponds to n of a very good quality LPCVD Si3N4 layer.

When dispersion characteristics of optical properties are analyzed, high dependence of the optical properties on wavelength can be seen (Figure 3). Interestingly, the analysis of n and k dispersions has demonstrated that the most uniform value of n and the smallest value of k in terms of wavelength dependence is characteristic for SiNx film fabricated in P7 process. This is a very useful and important observation which suggests that the P7 process parameters needs to be chosen for the further investigation of the SiNx films, especially when they are planned to be used for optical applications.

Further investigations have been focused on P7 deposition process parameters set. At first, we varied only T and the deposition time, which are the most often key parameters for T-sensitive, e.g., polymer containing devices and when d of the films matters, respectively. In order to determine the influence of the substrate material, at this stage of the experiment we deposited the films simultaneously on Si and oxidized Si. It has been found that decrease of the T from 340 to 200 °C results in significant decrease (by almost 0.1) in n of the films (Figure 4). Moreover, films deposited at higher T (340 °C) on oxidized Si show slightly lower n than those obtained directly on Si wafers. For films deposited at T = 200 °C on both Si and oxidized Si wafers, the difference in their n is imperceptible (not shown here). Moreover, for the investigated substrates n of the films increases with deposition time, especially at initial stage of film growth. For thicker films (d > 80 nm), n remains independent on deposition time. The phenomenon of n(d) dependence has been discussed elsewhere [27] for Si-rich SiNx films and it is likely to be related to densification of the film and releasing of hydrogen with deposition time, as well as stress induced in the film by the substrate at initial stage of the growth. Similar dependence of substrate material on n has also been observed for diamond-like carbon deposition and attributed to different thermal and electrical properties of the substrates, corresponding to differences in the density of ions in the plasma above the two surfaces [31]. When the process T is lower, the thermal conductivity of the substrate is less important when properties of the film are discussed.

3.2. Influence of Sample Placement in the Reactor

The influence of the placement of the Si wafer in the plasma reactor was investigated next. It can be seen in Figure 5 that d increases with the distance between the wafer and the stage electrode. The deposition rate for process taking place on the sample placed on the holder’s surface (1.45 mm above the electrode) is almost 40% higher than the rate when the wafer is placed directly on the electrode. Moreover, the decrease of T slightly increases the deposition rate. The d measured for films deposited simultaneously on Si and oxidized Si wafers are very similar.

Since the distance between the electrode and the sample surface is very crucial from the point of view of properties of the films, we placed the sample on a holder’s bar allowing for changing distance (h) between the Si wafer and the holder’s surface. The obtained results of d and n where d is referred to the thickness obtained for sample on the holder, is shown in Figure 6. Both d and n of the films increase with h. The dependence is nonlinear, and for the 15 min-long process the increase reaches 17 nm/mm and 3.4 × 10−3 RIU/mm in range between 2.2 to 5.1 mm above the holder’s surface for d and n, respectively. When h > 5.1 mm, the increase in d is reduced and followed by slight decrease in n. In the investigated h range, the maximum d (25% higher than on the surface) is reached when the sample is held 6.8 mm above the electrode. The decrease in n observed for sample held at this level can be induced by lower temperature of the substrate when it is away from the electrode. The influence of temperature on n and d has been discussed above.

In order to confirm the effect of increase of the parameters with distance from the electrode, we positioned Si wafer on the holder perpendicularly to the holder’s surface. The process was 15-minutes-long. Thickness of the films on the horizontally (placed on the holder surface) and vertically oriented samples is shown in Figure 7. It can be clearly seen that 7 mm above the surface, the film is deposited as significantly thicker than near to the electrode. The maximum of d corresponds well with the results obtained when the elevated sample was investigated. However, in the case of this experiment, the factor of thickness variation referred to as d on the sample placed horizontally on the holder reaches 0.75.

We believe that the observed phenomenon is dependent on ion density which seems to be the highest about 7 mm above the electrode. Different volume of the samples placed in the reactor in both the experiments determines d and optical properties of the films received each time on the wafers, but the distribution of ion density is similar in both cases. According to [32], structure of both the electric fields and excitation depended on process parameters, but also on topology of the objects in the reactor.

4. Conclusions

In the paper we discuss properties of the SiNx films in dependence on way the sample is placed in the plasma reactor. First of all, using Taguchi’s orthogonal tables approach we have determined the influence of the process parameters on deposition rate and optical properties of the films. Deposition rate increases with SiH4 flow and pressure when in turns decreases with NH3 flow and temperature. Optical properties of the films, i.e., refractive index and extinction coefficient, keep the same trend for gas flows as deposition rate, but the trend is opposite for temperature and pressure. Thanks to the analysis we selected the process parameters resulting in receiving weakly dependent on wavelength refractive index of the films (n ≈ 2) and low absorption. Then we noticed that the optical properties depend on thickness of the films, especially when they are thinner than 80 nm. When the temperature is lowered from 340 to 200 °C, as required by some polymer-containing devices, the refractive index decreases, but the influence of the substrate material, i.e., Si and oxidized Si, on properties of the films can be neglected.

Secondly, we investigated the influence of the sample placement in the reactor on the properties of the films. We have found that deposition rate increase with the distance from the stage electrode and reaches its maximum about 7 mm above the electrode. The increase in deposition rate is followed by increase of refractive index. The phenomenon must be taken into account when thicker or complex in shape substrates are planned to be coated and where properties of the films in nano-scale are crucial. According to the obtained results, in order to uniformly coat complex in shape surfaces, it is suggested to position the sample surface in the highest plasma activity region, which in our case is located 7 mm above the bottom RF PECVD reactor electrode. Results of this work can be useful when, e.g., MEMS or curved-shape optical structures, including sensors, needs to be uniformly coated with plasma-based method.

Acknowledgments

The authors gratefully acknowledge support for this work from the National Centre for Research and Development of Poland within the LIDER program, and the European Union in the framework of the Innovative Economy Operational Program through the Foundation for Polish Science Homing Plus Program.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Kaushik, A.; Kahn, H.; Heuer, A.H. Wafer-Level mechanical characterization of silicon nitride MEMS. J. Microelectromech. Syst 2005, 14, 359–367. [Google Scholar]
  2. Park, S.; Kim, K.-J.; Lee, J.-M.; Kim, I.-G.; Kim, G. Adjusting resonant wavelengths and spectral shapes of ring resonators using a cladding SiN layer or KOH solution. Opt. Express 2009, 17, 11884–11891. [Google Scholar]
  3. Smietana, M.; Bock, W.J.; Mikulic, P. Temperature sensitivity of silicon nitride nanocoated long-period gratings working in various surrounding media. Meas. Sci. Technol 2011, 22. [Google Scholar] [CrossRef]
  4. Ariga, K.; Hill, J.P.; Lee, M.V.; Vinu, A.; Charvet, R.; Acharya, S. Challenges and breakthroughs in recent research on self-assembly. Sci. Technol. Adv. Mater 2008, 9. [Google Scholar] [CrossRef]
  5. Graugnard, E.; Gaillot, D.P.; Dunham, S.N.; Neff, C.W.; Yamashita, T.; Summers, C.J. Photonic band tuning in two-dimensional photonic crystal slab waveguides by atomic layer deposition. Appl. Phys. Lett 2006, 89. [Google Scholar] [CrossRef]
  6. Koehler, F.; Triyoso, D.H.; Hussain, I.; Mutas, S.; Bernhardt, H. Atomic layer deposition of SiN for spacer applications in high-end logic devices. IOP Conf. Ser. Mater. Sci. Eng 2012, 41. [Google Scholar] [CrossRef]
  7. Martinu, L.; Poitras, D. Plasma deposition of optical films: A review. J. Vac. Sci. Technol. A 2000, 18, 2619–2645. [Google Scholar]
  8. Anma, H.; Toki, J.; Ikeda, T.; Hatanaka, Y. Uniform deposition of SiC thin films on plastics surfaces. Vacuum 2000, 59, 665–671. [Google Scholar]
  9. Ay, F.; Aydinli, A. Comparative investigation of hydrogen bonding in silicon based PECVD grown dielectrics for optical waveguides. Opt. Mater 2004, 26, 33–46. [Google Scholar]
  10. Nakazato, K. An integrated isfet sensor array. Sensors 2009, 9, 8831–8851. [Google Scholar]
  11. Schmidt, J.; Kerr, M.; Cuevas, A. Surface passivation of silicon solar cells using plasma-enhanced chemical-vapour-deposited SiN films and thin thermal SiO2-plasma SiN stacks. Semicond. Sci. Technol 2001, 16, 164–170. [Google Scholar]
  12. Pernice, W.H.P.; Li, M.; Gallagher, D.F.G.; Tang, H.X. Silicon nitride membrane photonics. J. Opt. A Pure Appl. Opt 2009, 11. [Google Scholar] [CrossRef]
  13. Dalton, J.V.; Drobek, J. Structure and sodium migration in silicon nitride films. J. Electrochem. Soc 1968, 115, 865–868. [Google Scholar]
  14. Wu, P.; Hogrebe, P.; Grainger, D.W. DNA and protein microarray printing on silicon nitride waveguide surfaces. Biosens. Bioelectron 2006, 21, 1252–1263. [Google Scholar]
  15. Subhash, G.; Hittepole, P.; Maiti, S. Mechanical properties of PECVD thin ceramic films. J. Eur. Ceram. Soc 2010, 30, 689–697. [Google Scholar]
  16. Wang, Y.; Cheng, X.; Lin, Z.; Zhang, C.; Zhang, F. Optimization of PECVD silicon oxynitride films for anti-reflection coating. Vacuum 2004, 72, 345–349. [Google Scholar]
  17. Daldosso, N.; Melchiorri, M.; Riboli, F.; Girardini, M.; Pucker, G.; Crivellari, M.; Bellutti, P.; Lui, A.; Pavesi, L. Comparison among various Si3N4 waveguide geometries grown within a CMOS fabrication pilot line. J. Lightwave Tech 2004, 22, 1734–1740. [Google Scholar]
  18. Haeiwa, H.; Naganawa, T.; Kokubun, Y. Wide range center wavelength trimming of vertically coupled microring resonator filter by direct UV irradiation to SiN ring core. IEEE Phot. Technol. Lett 2004, 16, 135–137. [Google Scholar]
  19. Gorin, A.; Jaouad, A.; Grondin, E.; Aimez, V.; Charette, P. Fabrication of silicon nitride waveguides for visible-light using PECVD: A study of the effect of plasma frequency on optical properties. Opt. Express 2008, 16, 13509–13516. [Google Scholar]
  20. Sinibaldi, A.; Descrovi, E.; Giorgis, F.; Dominici, L.; Ballarini, M.; Mandracci, P.; Danz, N.; Michelotti, F. Hydrogenated amorphous silicon nitride photonic crystals for improved-performance surface electromagnetic wave biosensors. Biomed. Opt. Express 2012, 3, 2405–2410. [Google Scholar]
  21. Li, D.; Wang, F.; Yang, D.; Que, D. Electrically tunable electroluminescence from SiNx-based light-emitting devices. Opt. Express 2012, 20, 17359–17366. [Google Scholar]
  22. Belt, M.; Bovington, J.; Moreira, R.; Bauters, J.F.; Heck, M.J.R.; Barton, J.S.; Bowers, J.E.; Blumenthal, D.J. Sidewall gratings in ultra-low-loss Si3N4 planar waveguides. Opt. Express 2013, 21, 1181–1188. [Google Scholar]
  23. Smietana, M.; Bock, W.J.; Mikulic, P.; Chen, J. Pressure sensing in high-refractive-index liquids using long-period gratings nanocoated with silicon nitride. Sensors 2010, 10, 11301–11310. [Google Scholar]
  24. Smietana, M.; Brabant, D.; Bock, W.J.; Mikulic, P.; Eftimov, T. Refractive-index sensing with inline core-cladding intermodal interferometer based on silicon nitride nano-coated photonic crystal fiber. J. Lightwave Technol 2012, 30, 1185–1189. [Google Scholar]
  25. Reinhardt, K.A.; Kern, W. Handbook of Silicon Wafer Cleaning Technology, 2nd ed.; William Andrew Inc.: Norwich, NY, USA, 2008. [Google Scholar]
  26. Jellison, G.E., Jr.; Modine, F.A.; Doshi, P.; Rohatgi, A. Spectroscopic ellipsometry characterization of thin-film silicon nitride. Thin Solid Films 1998, 313–314, 193–197. [Google Scholar]
  27. Smietana, M.; Bock, W.J.; Szmidt, J. Evolution of optical properties with thickness of silicon nitride and diamond-like carbon films deposited by RF PECVD method. Thin Solid Films 2011, 519, 6339–6343. [Google Scholar]
  28. Jellison, G.E., Jr.; Modine, F.A. Parameterization of the optical functions of amorphous materials in the interband region. Appl. Phys. Lett 1996, 69, 371–373. [Google Scholar]
  29. Taguchi, G.; Konishi, S. Orthogonal Arrays and Linear Graphs; ASI Press: Dearborn, MI, USA, 1987. [Google Scholar]
  30. Peace, G.S. Taguchi Methods: A Hands-on Approach; Addison-Wesley Publishing Company: Reading, MA, USA, 1993. [Google Scholar]
  31. Smietana, M.; Bock, W.J.; Szmidt, J.; Grabarczyk, J. Substrate effect on the optical properties and thickness of diamond-like carbon films deposited by the RF PACVD method. Diam. Relat. Matter 2010, 19, 1461–1465. [Google Scholar]
  32. Barnat, E.V.; Hebner, G.A. Plasma nonuniformities induced by dissimilar electrode metals. J. Appl. Phys 2005, 98, 013305:1–013305:2. [Google Scholar]
Figure 1. The holder used for elevation of the samples in the reactor, where (a) shows its schematic representation with 1: an elevator bar, 2: silicon wafer, 3: stainless steel holder, 4: flattening 3-inch silicon wafer; and (b) shows a picture of the holder with a Si sample placed on the elevator bar.
Figure 1. The holder used for elevation of the samples in the reactor, where (a) shows its schematic representation with 1: an elevator bar, 2: silicon wafer, 3: stainless steel holder, 4: flattening 3-inch silicon wafer; and (b) shows a picture of the holder with a Si sample placed on the elevator bar.
Materials 07 01249f1 1024
Figure 2. Influence of examined RF PECVD process parameters, i.e., (a) temperature; (b) pressure; (c) SiH4 flows; and (d) NH3 flows, on d and n (determined at λ = 630 nm), according to the data analysis with Taguchi’s orthogonal tables approach.
Figure 2. Influence of examined RF PECVD process parameters, i.e., (a) temperature; (b) pressure; (c) SiH4 flows; and (d) NH3 flows, on d and n (determined at λ = 630 nm), according to the data analysis with Taguchi’s orthogonal tables approach.
Materials 07 01249f2 1024
Figure 3. Influence of the deposition process parameters, i.e., T, fSiH4 and fNH3, and p determined according to Table 1, on (a) n and (b) k of the SiNx films. The deposition was performed on Si wafers at constant deposition time (5 min) and RF generator power (50 W).
Figure 3. Influence of the deposition process parameters, i.e., T, fSiH4 and fNH3, and p determined according to Table 1, on (a) n and (b) k of the SiNx films. The deposition was performed on Si wafers at constant deposition time (5 min) and RF generator power (50 W).
Materials 07 01249f3 1024
Figure 4. Evolution of the films’ n with deposition time for processes performed with T of 200 and 340 °C on Si and oxidized Si wafers.
Figure 4. Evolution of the films’ n with deposition time for processes performed with T of 200 and 340 °C on Si and oxidized Si wafers.
Materials 07 01249f4 1024
Figure 5. Kinetics of the deposition process vs. T and sample placement during the process.
Figure 5. Kinetics of the deposition process vs. T and sample placement during the process.
Materials 07 01249f5 1024
Figure 6. Evolution of d and n with distance between Si sample and holder. Thickness is referred to the value measured for sample placed directly on the holder.
Figure 6. Evolution of d and n with distance between Si sample and holder. Thickness is referred to the value measured for sample placed directly on the holder.
Materials 07 01249f6 1024
Figure 7. Distribution of d on vertically oriented Si wafers. Thickness of the film on wafer horizontally placed on the holder surface is given for comparison.
Figure 7. Distribution of d on vertically oriented Si wafers. Thickness of the film on wafer horizontally placed on the holder surface is given for comparison.
Materials 07 01249f7 1024
Table 1. Values of RF PECVD process parameters determined according to Taguchi’s orthogonal tables approach. Process time and power applied to the reactive chamber were constant during all the runs and reached 5 min and 50 W, respectively.
Table 1. Values of RF PECVD process parameters determined according to Taguchi’s orthogonal tables approach. Process time and power applied to the reactive chamber were constant during all the runs and reached 5 min and 50 W, respectively.
Value/ProcessT [°C]fSiH4 [sccm]fNH3 [sccm]p [mTorr]
Value 127015010700
Value 230030025900
Value 3340500501200
P127015010700
P227030025900
P3270500501200
P4300150251200
P530030050700
P630050010900
P734015050900
P8340300101200
P 934050025700

Share and Cite

MDPI and ACS Style

Śmietana, M.; Mroczyński, R.; Kwietniewski, N. Effect of Sample Elevation in Radio Frequency Plasma Enhanced Chemical Vapor Deposition (RF PECVD) Reactor on Optical Properties and Deposition Rate of Silicon Nitride Thin Films. Materials 2014, 7, 1249-1260. https://doi.org/10.3390/ma7021249

AMA Style

Śmietana M, Mroczyński R, Kwietniewski N. Effect of Sample Elevation in Radio Frequency Plasma Enhanced Chemical Vapor Deposition (RF PECVD) Reactor on Optical Properties and Deposition Rate of Silicon Nitride Thin Films. Materials. 2014; 7(2):1249-1260. https://doi.org/10.3390/ma7021249

Chicago/Turabian Style

Śmietana, Mateusz, Robert Mroczyński, and Norbert Kwietniewski. 2014. "Effect of Sample Elevation in Radio Frequency Plasma Enhanced Chemical Vapor Deposition (RF PECVD) Reactor on Optical Properties and Deposition Rate of Silicon Nitride Thin Films" Materials 7, no. 2: 1249-1260. https://doi.org/10.3390/ma7021249

Article Metrics

Back to TopTop