Next Article in Journal
Damage Imaging in Lamb Wave-Based Inspection of Adhesive Joints
Next Article in Special Issue
On the Properties of WC/SiC Multilayers
Previous Article in Journal
The Influence of Forming Directions and Strain Rate on Dynamic Shear Properties of Aerial Aluminum Alloy
Previous Article in Special Issue
Development and Characterization of Two-Dimensional Gratings for Single-Shot X-ray Phase-Contrast Imaging
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Ni-Al Alloys as Alternative EUV Mask Absorber

1
IMEC, Kapeldreef 75, B-3001 Leuven, Belgium
2
KU Leuven, Department of Materials Engineering, B-3001 Leuven, Belgium
3
Department of Solid-state Sciences, Ghent University, Krijgslaan 281 (S1), B-9000 Gent, Belgium
4
PTB, Abbestraße 2-12, 10587 Berlin, Germany
*
Author to whom correspondence should be addressed.
Appl. Sci. 2018, 8(4), 521; https://doi.org/10.3390/app8040521
Submission received: 31 January 2018 / Revised: 23 March 2018 / Accepted: 25 March 2018 / Published: 29 March 2018
(This article belongs to the Special Issue Advanced EUV and X-Ray Optics)

Abstract

:
Extreme ultraviolet (EUV) lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D) effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM), is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

Graphical Abstract

1. Introduction

Extreme ultraviolet lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down microcircuit devices for logic and memory applications. Because of the short wavelength of 13.5 nm used in extreme ultraviolet (EUV) lithography, it is not possible to use refractive optics or transmission masks for image formation, and the optical elements and EUV photomask are reflective mirrors. The EUV mask is a reflective multilayer (ML) mirror, consisting of molybdenum/silicon (Mo/Si) bilayers having peak reflectivity at 13.5 nm, and is coated with a mask absorber where light has to be attenuated. The chief-ray incidence angle on mask is set at 6° from normal to avoid overlap of incident and reflected light.
Figure 1 depicts the cross-section of an EUV photomask. The substrate consists of a low thermal expansion material (LTEM) glass plate with a Mo/Si ML mirror coating on front side, and a conductive backside coating for electrostatic chucking. A thin ruthenium (Ru) capping layer protects the mirror against oxidation of the top Si layer, and against the cleaning of organic contaminants by hydrogen reduction [1]. Currently, tantalum (Ta) is the main component of most common absorber materials developed for commercial EUV photomasks. The absorber layer is etched into a pattern to be printed on wafer, and is capped with an oxide anti-reflective coating (ARC) to create contrast between the absorber and the mirror for defect inspection in the deep ultraviolet wavelength range (DUV).
The combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D) effects [2]. These effects are experimentally observable, as feature orientation-dependent shadowing effects [3], best focus variation through pitch [4], feature size-dependent pattern shift through focus [5,6], and pattern asymmetry and contrast loss [7]. Selecting the correct mask absorber material and thickness helps in reducing M3D effects [2,8,9]. This approach is complementary to other M3D mitigation strategies, such as source optimization [10], sub-resolution assist feature placement [11], and transition to anamorphic high-NA EUV lithography [12].
This paper will focus on absorber material as a mitigation strategy for M3D effects, and we verify whether mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity can be generated by combining Al and Ni in an alloy. The motivation for this particular material selection is explained in the next section.
In Section 2, we review the material selection criteria, and methods to deposit and characterize the alloys. In Section 3 we elaborate on the film characterization results, such as film morphology and material durability. Composition and density profile are determined to calculate the optical constants, which will be experimentally verified with EUV reflectometry (EUVR). The comparison between the calculated and measured optical constants will be discussed in Section 4. In Section 5, the measured optical constants are incorporated in an accurate mask model for rigorous imaging simulations to determine M3D imaging impact. Finally, we summarize our findings and give an outlook in Section 6.

2. Materials and Methods

Novel EUV absorber materials are primarily chosen for their optical performance in the EUV wavelength range, which is described by the complex refractive index. The imaginary part κ or extinction coefficient determines the attenuation, while the real part n or refraction coefficient determines the phase velocity. To reduce mask absorber height-dependent M3D effects, a material that absorbs more EUV and has higher κ than Ta is necessary [2]. Best focus shifts through pitch are caused by phase distortion due to a mismatch in refraction coefficient n at the vacuum and absorber interface, hence a material with n close to unity is preferred [13]. In this paper, the focus will be on material selection for BIM, i.e., high κ and n close to unity.
Figure 2 shows the relationship between n and κ at 13.5 nm EUV wavelength of elements from Z = 11 to Z = 83, excluding elements from the lanthanide series and noble gases [14]. Nickel (Ni) is one of the highest EUV absorbing elements, but it can easily form crystal grains [8,9,15]. Alloying is an option to reduce Ni crystallinity [16]. Aluminum (Al) has non-negligible EUV absorption and n larger than unity. Based on rigorous imaging simulations, Al is expected to reduce M3D effects [17,18], but imaging contrast will deteriorate due to Al’s lower κ compared to Ta. By combining both elements into a NixAly alloy, we target a material that reduces EUV phase distortion, while maintaining good contrast for imaging at reduced absorber thickness.
Based on the thermodynamic phase diagram of Ni-Al system in Figure 3 [19], three stable Ni-Al compositions were investigated: Ni3Al, NiAl and Ni2Al3. The films were deposited with a nominal film thickness of 25 nm by physical vapor deposition (PVD) through co-depositing Ni and Al targets. We used 6” Si-wafer substrates on which 30 nm SiO2 was grown through wet oxidation. The wafers were mounted on a rotating wafer drum, sequentially passing Ni and Al direct current (DC) plasma’s at Ar pressures of 5.10−3 mbar resulting in alternating Ni and Al monolayers deposited and instantaneous intermixing. Furthermore, when selecting new EUV absorber materials, they need to satisfy a set of specifications summarized in Table 1 [8,17]. We present detailed results of the characterization with respect to some of these properties. Determining the optical constants of the absorber material with EUV reflectance requires an accurate absorber stack model, for which additional metrology is needed to assess the chemical composition and density profile of the absorber film.
The absorber film morphology is preferably nano-crystalline or amorphous, which is challenging to achieve for most single metals. Crystallinity however, is very likely to impair surface roughness and etch bias. Preferential etching along crystal grains can cause increased absorber line edge roughness (LER) and lead to critical dimension (CD) variations, which will be transferred to wafer [27,28]. Additionally, absorber morphology affects mechanical film stress. Stress induced by absorber, and ML mirror must be controlled within a range of ±180 GPa to ensure the flatness of the photomask [19] and to avoid distortion-induced pattern placement error [29]. Doping or alloying with additional elements, or a multilayered absorber structure are possible solutions to reduce crystallinity [15,30]. We have measured alloy crystallinity using X-ray diffractometry (XRD) and transmission electron microscopy (TEM).
During processing, temperature must be below 150 °C to avoid intermixing between the mirror’s Mo/Si bilayers to maintain high EUV reflectance [22]. This constraint limits deposition of the absorber film to PVD, as most chemical deposition techniques require high temperature [31]. The low temperature during PVD, causes the material deposition to be mainly kinetically controlled. Most atoms will lack the energy to arrange themselves into the most efficient close-packed lattice. Hence the crystal density as reported for the thermodynamically stable crystalline compound will likely not be obtained.
Anisotropic etch of the absorber material must be capable of forming well defined patterns with straight sidewalls. Reactive ion etch (RIE) ensures these conditions, but requires the absorber material to chemically react with the etchant gas and to form volatile compounds below 150 °C. Materials with small CD difference before and after etch are preferred [32,33]. Furthermore, the absorber material must have good adhesion to the Ru capping layer, even during cleaning, and with good etch selectivity to Ru.
Etch development of alternative metals is an important challenge to solve. Despite good imaging performance and durability, Ni remains difficult to pattern. Physical sputtering has limited etch selectivity and cannot obtain the required pattern quality, due to uncontrolled redeposition of non-volatile Ni particles, resulting in sloped sidewalls and Ni residues on the mirror [9]. However, Ni can form volatile organo-metallic compounds at low temperature [34,35], and emerging etch technology, such as atomic layer etch (ALE), can be promising for enabling transitional metal plasma etch [36]. Alternatively, a damascene-like additive patterning scheme can be adopted to circumvent the direct Ni etching [37]. This solution requires the ability to remove the template pattern selectively afterwards. Furthermore, the ability to form volatile Ni compounds induced by electron beam is still necessary for absorber defect repair of such Ni patterns.
The absorber must remain inert in the EUV scanner ambient, and at the elevated temperatures during EUV exposure. It is not allowed to transform into a different solid phase to avoid volumetric changes. A phase transition of NixAly alloy is unlikely below 150 °C though (cf. Figure 3), as both elemental Ni and Al have melting points much higher than the thermal budget for EUV photomasks. H2 is used inside the projection optics (PO) box in EUV scanners [38]. Although the photomask is physically outside of the PO box, concerns remain on their capability to react with hydrogen under EUV exposure. A first estimation for the hydrogen durability of an element, is by assessing the volatility or melting point of its hydrogen compound. This assessment however, does not give information on kinetics or ease of formation of such compounds under scanner conditions. Hydrogen resistance can be additionally improved by doping with reduction resistant elements [39]. We have tested hydrogen compatibility by exposing the alloy samples to H* radicals for 110 h. Composition changes after the durability tests were measured with RBS for Ni detection and elastic recoil detection analysis (ERDA) for Al detection.
For mask defect inspection in the DUV wavelength range, an oxide ARC is required to create contrast with the mirror where the absorber material has been etched away. For actinic inspection, the ARC layer is not necessary [40]. Another function of the ARC layer is to limit the native oxide growth of the absorber material. For defect repair the absorber material must be able to form volatile compounds by electron beam-induced chemical reaction [41]. Alternatively, nanomachining repair can remove non-volatile materials, but is less selective [42]. The absorber must remain stable under cleaning conditions, preferably basic solution to prevent the Ru capping layer from oxidizing and peeling [25]. The introduction of pellicles in EUV lithography will likely reduce the number of cleaning cycles necessary during the lifetime of an EUV mask. In this paper, we have tested cleaning compatibility of NixAly alloy samples by 24 h submersion tests in DIW, and in NH4OH.
Finally, the optical constants are determined through calculation with Equation (1), and through experimental verification with EUVR. Equation (1) relates the optical constants to the semi-empirically determined atomic scattering factors through wavelength as follows:
n ¯ ( λ ) = n ( λ ) i κ ( λ ) = 1 r 0 2 π   λ 2 N A j w j M j ρ a l l o y [ f 1 , j ( λ ) i f 2 , j ( λ ) ]
with r0 the classical electron radius, λ the wavelength, NA Avogadro constant, ρalloy the alloy density, wj and Mj the weight percentage and molar mass of the jth element respectively, f1,j and f2,j the real and imaginary part of the forward atomic scattering factor of the jth element respectively, tabulated by Henke et al. [14]. To use Equation (1), one needs to know first the elemental composition, through wj, and density ρalloy, which are characterized by X-ray photoelectron spectroscopy (XPS), X-ray reflectometry (XRR) and TEM with energy dispersive X-ray analysis (TEM-EDS), as tabulated in Table 2.
In the second method, the optical constants are fitted from EUVR measurement through wavelength and through incidence angle. An accurate stack model as a starting point, with correct number of layers and layer thicknesses, can improve fitting convergence towards a set of optical constants. Such a stack model has been verified with XRR and TEM.

3. Film Characterization

In this section, we present and elaborate on the film characterization results. We investigated film morphology, material durability, composition and density profile, using metrology as defined in Table 1 and Table 2. Composition and density profile results will be used to setup models for extracting optical constants in Section 4.

3.1. Film Morphology

The degree of crystallinity of the as-deposited film provides an estimation for the susceptibility to surface roughness and LER. The absorber morphology is preferably nano-crystalline or amorphous, and can be determined using cross sectional TEM and XRD.
Figure 4a displays the cross-section TEM images of Ni3Al, NiAl and Ni2Al3. An amorphous native oxide layer is clearly visible on the sample surface, which grows thicker with increasing Al-ratio. All NixAly compositions are polycrystalline, which can be observed as contrast and lattice orientation change in the Moiré patterns.
Figure 4b presents the same samples under different magnification and contrast to better visualize the crystal grains. Ni3Al and NiAl have similar crystallinity with columnar grains spanning the full film thickness. Ni2Al3 exhibits smaller grains near the surface and substrate interface.
Crystallinity is further investigated with XRD scans in Bragg–Brentano geometry, which is mostly sensitive to lattice planes parallel to the film surface. The atomic planes of a crystal cause incidence X-rays to diffract in specific angles. The most intense diffraction peak of a reference Ni film of 25 nm is located at 44° 2theta, which is caused by Ni (111) oriented lattice planes. The full width at half-maximum (FWHM) of the Ni (111) peak can be used to estimate the average crystal grain size τ through the Scherrer’s equation [43], with K the crystal shape-dependent Scherrer constant, λ the Cu Kα X-ray wavelength, and θ the X-ray incident angle corresponding to the observed XRD peak:
τ = K   λ FWHM   cos ( θ )
The XRD spectra of NixAly films are compared with a reference 25 nm thick Ni film, and are monitored with in-situ XRD (IS-XRD) during thermal loading up to 500 °C. After cooling down to room temperature, the XRD spectra of the NixAly films are measured again.
Figure 5a compares the XRD spectra of the as-deposited films, and of the films after thermal loading. Peak fitting results and estimated average grain size are tabulated in Table 3.
Compared to the reference Ni (111) peak, the peak of as-deposited Ni3Al appears much broader, indicating smaller Ni3Al crystal grains. As-deposited NiAl exhibit a peak more similar to the reference Ni film. For as-deposited Ni2Al3, no clear XRD peaks can be observed, indicating poor condition for diffraction. Possible causes include nano-crystallinity, more variation in average grain size, and random orientation of the crystal lattice. After thermal loading and cooling down to room temperature, the peak position of both Ni3Al and NiAl remains the same, indicating no crystalline phase change occurred. The peak width has decreased slightly, suggesting the crystal grains have grown. The Ni2Al3 peak however, has shifted and increased significantly in intensity after thermal loading, indicating recrystallization into a different crystalline phase.
Figure 5b confirms Ni2Al3 recrystallization started after 200 °C. Taking the IS-XRD results into consideration, it is unlikely that a mask absorber comprising of only Ni and Al, will remain amorphous under working conditions. However, we have achieved a reduction in crystal grain size by alloying Ni with Al under unequal nominal Ni:Al ratio.

3.2. Durability

The photomask material must remain stable under cleaning conditions and working scanner conditions during the mask lifetime.
The initial cleaning durability test composes of submerging samples in two solutions with different acidity: DIW (slightly acidic pH 5.7 due to CO2 diffusion from atmosphere) and NH4OH (basic pH 11.4). Hydrogen durability was tested by exposing samples to a flow of H* radicals over a period of 110 h. H* radicals were formed through cracking H2 with a hot tungsten filament using a EUV Tech hydrogen cleaner [24]. After the durability tests the samples, together with a reference, were measured with XRR and RBS/ERDA to assess thickness and composition changes respectively. The results are depicted in Figure 6a.
The XRR spectra of Ni3Al reference and after 110 h H* have the same oscillation frequency, indicating no thickness loss. There is a slight increase in roughness after H* test, which can be recognized as attenuation of the amplitude at higher incident angles. Attenuation is also observed in the samples after 24 h in DIW, and even more so in NH4OH. Furthermore, a reduction in oscillation frequency indicates a film thickness loss for the sample in NH4OH. The Pourbaix diagram shown in Figure 6b, helps understanding the behavior of Al in aqueous solution [44]. The thermodynamic stable phases of Al are shown in relation to the solution’s electrochemical potential VSHE, acidity pH, and effective concentration of the soluble species (Al3+ and AlO2). The stability region of water lies between the dashed blue lines, which define the reduction and oxidation reaction of water. Under negative VSHE or highly reducing condition, metallic Al is immune to reaction in aqueous solution. Under more oxidizing condition, Al will react depending on the acidity. Highly acidic and highly basic condition will dissolve Al into soluble Al3+ and AlO2 ions respectively, causing corrosion of the surface Al. Therefore, a thickness loss and increased roughness are observed by XRR for the sample in NH4OH. Around neutral pH, Al reacts by forming an Al2O3 layer that functions as a stable passivation layer against corrosion. Based on XRR measurement, the passivating Al2O3 layer causes increased roughness. The pH-range of the passivating region is also dependent on the concentration of Al3+ and AlO2 in the solution. The driving force for Al dissolution is larger in diluted solutions, resulting in a small passivation region between pH 4 and 8 (orange line). Solutions with high Al3+/ AlO2 concentration, can increase the passivation region even more towards basic conditions (green line).
The average composition changes of Ni3Al are compared between a reference sample, after 110 h H*, and after 24 h DIW. The basic cleaning condition with NH4OH was disregarded, as Al was not stable under this condition. Ion beam characterization techniques, such as RBS and ERDA, are very sensitive to changes in elemental composition. These techniques were used to measure Ni and Al areal atomic density. As Ni was expected to be stable under the conditions of the durability tests [13,45], the Ni areal atomic density was used for normalization. The relative atomic density of Ni, Al, O, C and H, are presented in Figure 7.
The Ni:Al ratio remained around 3:2. Though, a significant increase in oxygen content is observed after 24 h in DIW, which is consistent with the Pourbaix diagram. Likely, more O-bonds has been formed, resulting in increased roughness, yet without changing the total thickness as the XRR oscillation frequency did not increase. Furthermore, traces of C and H are clearly reduced after H* exposure, which is not unexpected as H* is used in mask cleaning processes to reduce organic contamination. Note that traces of C and H have not been reduced after DIW cleaning procedure.
We have conducted durability tests on Ni3Al. The behavior of NiAl and Ni2Al3 are expected to be like Ni3Al, as all three samples exhibit the same native oxide. The surface Al oxide dissolves in NH4OH, but remains stable in DIW, though metallic Al will be further oxidized and might increase roughness. The Ni:Al ratio remained the same after DIW submersion and after H* tests, indicating neither preferential dissolution nor preferential sputtering has occurred respectively. To be compatible with more basic conditions, a cleaning solution with high Al3+/AlO2 concentration can increase the passivation region. Alternatively, a capping layer can limit the exposure of the surface Al to the surroundings, thereby limiting oxidation. Another option is to saturate all Al-O bonds during deposition, while aiming for low surface roughness. This way, the roughness remains low as no further oxidation will occur during cleaning under passivation conditions.

3.3. Composition and Density Profile

The composition and density of the absorber material is needed to estimate its theoretical optical constants by providing the weight percentage wj and alloy density ρalloy in Equation (1) respectively. Many metals form a native surface oxide layer as well, which will be determined with XPS and TEM-EDS. The composition and density of both surface oxide and bulk layer can be determined by fitting XRR measurements.
XPS is an effective technique to determine the oxidation state on the surface, which provides information on whether the atom is bound in a compound, or whether it is in pure metallic state. Depth profile can be obtained in sputter-assisted mode. XPS measurement of Ni2Al3 is presented in Figure 8. XPS data for Ni3Al and NiAl are available in the Supplementary Materials section Figure S1.
Ni2p3/2, and Ni2p1/2 peaks are found at 852.7 eV, and 870.0 eV respectively. On the surface, there is a negligible amount of Ni present. The peak positions inside the bulk of the film correspond to metallic Ni. In case Ni was in a compound, the Ni2p3/2 and Ni2p1/2 peaks will shift slightly to higher binding energy around 854.4 eV and 871.9 eV respectively, possibly splitting in multiplet peaks and having more pronounced satellite peaks.
Al2p peak is observable at 72.6 eV, 74.6 eV and 75.6 eV for metallic Al, Al2O3 and AlOx-on-Al respectively. On the surface (0 s sputter time), a main Al2O3 peak with a side metallic Al peak can be discerned. Just below the surface (100 s sputter time), the Al2O3 peak shifts to higher binding energy, corresponding to Al suboxide-on-Al, while the metallic Al peak becomes more dominant. In the bulk (up to 1300 s sputter time), the main peak is metallic Al with a small sidelobe at higher binding energy, indicating the presence of some Al suboxide.
TEM-EDS image of Ni2Al3, illustrated in Figure 9, confirms that the native oxide is composed out of Al and O. Ni is confined within the bulk, which also contains Al and traces of O. The bulk composition therefore has changed compared to nominal. All elements within the bulk metal layer seem to be uniformly distributed. TEM-EDS line scan reveals preferential Al diffusion towards high oxygen concentration, such as at the surface and at the SiO2 interface. This leaves a Ni-rich layer just below the native oxide and on top of SiO2. The Al:O ratio is not stoichiometric 2:3 beneath the surface, indicating formation of sub-oxides where less than the maximum number of Al-O bonds were formed.
Figure 10 presents the XRR spectra of Ni2Al3. The measurements for the other samples can be found in the Supplementary Materials section Figure S2. A multilayered model was used to account for density gradients, with layer thickness, density and roughness as fitting parameters. The nominal line follows the crystalline density of Al2O3 and Ni2Al3 along the layer thickness measured from TEM images. The density of the largest bulk layer is considered the bulk density of the film, and is tabulated in Table 4.
The density calculated with XRR, can differ from crystalline density from literature, due to non-optimized packing order or due to dissimilar bulk composition from nominal, as some Al diffuses away from bulk to form the native oxide. Two peaks are observable in the Ni2Al3 density profile: just below the surface oxide and on top of SiO2 substrate, corresponding to the Ni-rich layers where Al has diffused away.
The bulk composition can be calculated from bulk density using the rule of mixtures for the mass density of alloy composites, with wi and ρi the weight percentage in the alloy and density of the i’th element respectively:
ρ a l l o y = 1 i w i ρ i
Only Ni and Al were considered for composition determination without taking into account the O content. The calculated bulk composition of Ni3Al and NiAl are very similar, around 3:1 Ni:Al ratio. Although the NiAl sample contains relatively more Al than Ni3Al, more Al has diffused away towards the surface, resulting in a thicker surface oxide layer in the NiAl sample (cf. Figure 4) and a higher Ni content in the bulk compared to nominal composition. Similarly, the bulk composition of Ni2Al3 ends up closer to 1:1 Ni:Al ratio.
With the bulk alloy density ρalloy and the bulk composition wj from XRR spectra, we can proceed to calculate the optical constants n,κ with the Equation (1).

4. Verification of Optical Constants

In this section, we compare optical constants, calculated by Equation (1) with values from film characterization in Section 3, and by fitting EUVR measurement. The optical constants will be used in rigorous simulations to predict M3D impact in Section 5.
The first set of n,κ is calculated using crystalline density and composition of NixAly compounds from literature. The second set of optical constants is calculated based on bulk density and bulk composition obtained with XRR. These density and composition values are tabulated in Table 4. The third set of optical constants are calculated from fitting EUVR data, which were collected with PTB’s soft X-ray radiometry beamline at BESSY II facility. The EUV reflectance of Ni3Al, NiAl and Ni2Al3 was measured through wavelength and through incidence angle. The results have previously been reported in [50]. We fit the measured EUV reflectance with a three-layer stack model, consisting out of native oxide, bulk metal, and SiO2 on Si-substrate. The fitting parameters consist out of layer thickness, and optical constants. The EUV reflectance fits are illustrated in the Supplementary Materials Figure S3; the resulting optical constants at 13.5 nm wavelength are tabulated Table 5. Figure 11 compares the optical constants obtained through literature, XRR, and EUVR.
EUV reflectance shows higher κ with increasing Ni content and n closer to unity with increasing Al content. The extinction coefficients κ are very comparable between calculation based on literature, XRR, and EUVR.
However, EUVR found the refractive coefficients n much closer to unity. The calculated optical constants of the NixAly compounds lie linearly between those from the single elements Ni and Al, and is based on their tabulated atomic scattering factor fi, which is very sensitive to absorption edges. Depending on the chemical state of the element, the absorption edge can shift through wavelength, resulting in different optical constants. Few elements have absorption edges near 13.5 nm EUV wavelength, one of which is Al. Metallic Al L2 absorption edge lies at 73.1 eV [51], corresponding to a wavelength of ~17 nm, as illustrated in Figure 12a.
XPS measurements showed partially oxidized Al at the surface and in the bulk (cf. Figure 8). Higher binding energy of Al-O bonds compared to Al-Al, will shift the Al L2 edge towards higher energy and smaller wavelength. To verify this behavior, additional EUV reflectance was measured between 16 nm and 18 nm wavelength to determine the Al L2 absorption edge position. Figure 12b reveals a shift of Al L2 absorption edge from theoretical position at 17 nm to 16.6 nm wavelength. Close to the absorption edge, the refractive coefficient n of Al varies strongly with the wavelength, therefore the oxidation-induced absorption edge shift significantly impacts the value of n for the NixAly alloys at 13.5 nm wavelength. The positive n-over-wavelength gradient moves the value of n closer to unity after shifting the absorption edge.
The fitted optical constants of the Al2O3 native oxide are similar across the NixAly samples, suggesting there is almost no variation in native oxide composition and density. From Figure 12c, we can observe the Al L2 absorption peak has shifted further towards 15.5 nm, as there are more Al-O bonds in the surface oxide compared to bulk. Figure 11 shows a much smaller κ for the native surface oxide as measured by EUVR, compared to literature values for crystalline Al2O3. The κ reduction is caused by a partial oxidation of the surface layer, resulting in a lower Al2O3 density as compared to crystalline Al2O3. The partial oxidation is corroborated by XPS, and TEM; the reduced density by XRR measurements (cf. Figure 8, Figure 9 and Figure 10). A lower density would also result in larger n, yet its value is comparable between EUVR measurement and literature. This can be explained by a combination of the Al L2 absorption edge shift, a slightly negative n-over-wavelength gradient of Al2O3, and atypical orbital-atom interactions.
Up to this point, we have presented experimental characterization results, leading up to the determination of realistic NixAly optical constants with EUVR. In the next section, the measured optical constants will be incorporated in an absorber mask model to simulate and predict the impact on imaging of the NixAly alloys.

5. EUV Imaging Simulations

In this section, we utilize the optical constants, as measured by EUVR and tabulated in Table 5, to predict M3D impact of NixAly mask absorbers, compared to current TaBN absorber and high κ single metal Ni absorber.
We used Synopsys Sentaurus Lithography software to assess intensity threshold-to-size, shadowing effects, best focus shift through pitch, pattern shift through focus, contrast and process window (PW) variation of dense-to-isolated trenches at a fixed CD of 16 nm, which is a relevant structure for the 7 nm technology node. The simulated illumination source shapes are DipoleY with 90° opening angle and Quasar with 45° opening angle at numerical aperture (NA) of 0.33.
The imaging impact of the NixAly absorbers is compared to the reference 60 nm TaBN absorber, and a 32 nm high κ Ni absorber [9]. The EUV reflective mirror is a calibrated model of an experimentally validated Mo/Si ML mirror capped with Ru [52]. The NixAly absorber models contains two layers: a surface oxide layer with fixed 3 nm thickness and a bulk metal absorber layer. The optimal thickness is selected at an EUV reflectance minimum below 2%, as depicted in Figure 13. The optical constants are tabulated in Table 5.
The exposure dose needed to print the patterns on target, is estimated by the intensity threshold-to-size, with high threshold corresponding to low dose. Figure 14a predicts higher threshold-to-size for thin NixAly absorbers, and for high Al-content absorbers. As expected, thinner absorbers and Al low κ result in less EUV dose required to print trenches on target.
Impact on shadowing effect under the Quasar illumination is depicted in Figure 14b as the mask CD difference between horizontal (H) versus vertical (V) patterns, which are biased to print on target at wafer level. All NixAly absorbers have smaller HV mask bias compared to reference TaBN and to the thin high κ Ni absorber, despite being physically thicker. Higher Al content further reduces HV mask bias. This signifies that shadowing effect is not purely a thickness-induced M3D effect, but is also impacted by phase deformation.
The best focus range from 32 nm to 100 nm trench pitch is shown in Figure 14c. The smaller best focus shift between different pitches is better for overlay. Most NixAly absorbers exhibit slight reduction in best focus range compared to thin high κ Ni absorber, with more reduction at higher Al-content. More Al leads to smaller phase deformation as the refractive coefficient n is closer to unity. The thicker NixAly absorbers have smaller best focus range, as the impact of phase deformation on best focus shift is reduced for darker absorbers with lower reflectance [2].
Figure 14d illustrates the range of pattern shifts through focus for pitches from 32 nm to 100 nm, expressed in milliradians (mrad). 10 mrad correspond to 1 nm pattern shift per 100 nm defocus. Thinner absorbers are preferable as they reduce the contribution of absorber shadowing to pattern shift through focus, as it causes an imbalance in the diffraction orders intensity [5]. Furthermore, with n is close to unity due to Al, there is less phase imbalance between illumination poles under off-axis illumination [6]. Imaging simulations predict all modeled NixAly absorbers to surpass TaBN and Ni in mitigating pattern shift through focus.
The contrast is expressed in normalized intensity log-slope (NILS), with better contrast with higher NILS. In Figure 14e NILS of the Ni absorber at 32 nm pitch is chosen as reference for each illumination. For the Quasar illumination, TaBN absorber exhibits the best NILS, outperforming all NixAly absorbers. However, with the DipoleY illumination, which is superior for small patterns, 39 nm Ni3Al and 38 nm NiAl absorbers exceed both TaBN and Ni absorbers in NILS.
Lastly, the overlapping process windows under the DipoleY illumination at 0.33 NA are compared between 60 nm TaBN, 32 nm Ni, 39 nm Ni3Al—as the NixAly stack with best NILS, and 31 nm Ni2Al3—as the NixAly stack with best M3D reduction. These process windows are determined as the range of exposure dose and focus in which a 16 nm trench line/space pattern varies ±10% from target CD. Mask bias has been applied for each pitch, as to print the trenches on target CD at the specified exposure. The overlap of process windows (oPW) for pitch 32 nm, 44 nm and 60 nm, are shown in Figure 15.
The oPW of Ni, Ni3Al, and Ni2Al3 are larger comparing to TaBN. The smallest best focus shift is recognized as the most symmetric oPW, which is the case for the Ni2Al3 absorber. The overlapping depth-of-focus (oDoF) has increased more than 10% for the Al containing absorbers, compared to the TaBN and the Ni absorber. Ni3Al has a similar oDoF compared to Ni2Al, but is more preferred for its higher NILS.
In conclusion, based on rigorous EUV imaging simulations, there is a trade-off between phase distortion reduction, and NILS. High Al-content alloys are expected to exhibit significant contrast loss, despite superior phase distortion reduction, especially at reduced absorber thickness. A 39 nm Ni3Al turns out to be the best candidate out of the simulated absorber models, with superior M3D mitigation combined with high NILS under DipoleY illumination, when compared to 60 nm TaBN and 32 nm Ni absorbers.

6. Discussion and Outlook

In this paper, we present an extensive experimental methodology flow to evaluate candidate absorber materials capable of reducing M3D effects. We have started characterizing alloys to a list of specifications, including film morphology, durability under cleaning conditions, and under hydrogen conditions. The optical specification for absorber materials for BIM require high extinction coefficient κ and refractive coefficient n close to unity. Before determining the optical constants, the film profile needs to be characterized first with sufficient depth resolution, especially in the case when more than a single layer is formed after deposition. Accurate measurement of the film profile is used to set up an absorber model with improved fitting convergence of the EUV reflectance data, and from which realistic optical constants can be precisely extracted.
Three nominal compositions of the Ni-Al system have been investigated with various material and optical characterization techniques.
Alloying Ni and Al was unable to remove crystallinity completely, but the Ni-Al crystal grain size has decreased compared to pure Ni crystal grains. The as-deposited Ni2Al3 alloy shows nano-crystallinity morphology, but recrystallizes above 200 °C. As-deposited NiAl and Ni3Al exhibit poly-crystallinity and retain this morphology at higher temperatures.
A native Al2O3 layer forms naturally on the NixAly alloy surface when Al is exposed to atmospheric oxygen. The surface oxide grows with increasing Al-content. A chemical stable capping layer can possibly protect Al from oxidizing. Alternatively, saturating all Al-O bonds resulting in a uniform Al2O3 composition, can ensure the absorber composition does not change over time.
EUVR verified higher κ with increased Ni content and n closer to unity with increased Al content. Measurement through wavelength detected Al L2 absorption edge shift towards 16.6 nm wavelength, resulting from Al oxidation in the NixAly material. This near-edge anomalous scattering behavior causes the refractive coefficient n to be much closer to unity at 13.5 nm wavelength, which can be advantageous for BIM absorbers.
Lithography imaging simulations predict a trade-off between better phase distortion reduction for high Al-content alloys, and higher contrast for high Ni-content alloys. Nevertheless, higher EUV absorption compared to 60 nm TaBN, allows the NixAly absorbers to be thinner, resulting in lower dose-to-size, less shadowing effect, less pattern shift through focus, smaller best focus shift range, and larger overlapping process windows. Taking contrast loss into consideration, the best candidate out of the simulated absorber models is a 39 nm Ni3Al absorber stack.
Although Ni etch is still challenging, promising mitigation strategies to overcome the issues of Ni in respect to etch and repair are under investigation. We have demonstrated that Ni-Al alloys are worthwhile candidates for mask absorber material with good imaging and mask life time expectations. The presented extensive evaluation of Ni-Al alloys is encouraging to increase the efforts in promising patterning strategies for magnetic metals.

Supplementary Materials

The following are available online at https://www.mdpi.com/2076-3417/8/4/521/s1: Figure S1. XPS depth profile of of (a) Ni3Al, and (b) NiAl, showing Ni2p, and Al2p peaks. Figure S2. (left) XRR spectra showing measured data (blue) versus fit(red), and (right) density profile calculated by XRR spectra fit for (a) Ni3Al, and (b) NiAl. The dashed black line represents the layer thickness based on TEM and crystalline density based on literature values. Figure S3. Comparison of EUVR measurement (colored) versus fit (dashed) for Ni3Al, NiAl, and Ni2Al3 mask absorbers at 10 nm, 13.5 nm, and 16 nm wavelength.

Acknowledgments

This project has received funding from the Electronic Component Systems for European Leadership Undertaking under grant agreement number 662338. This Joint Undertaking receives support from the European Union’s Horizon 2020 research and innovation program and Netherlands, France, Belgium, Germany, Czech Republic, Austria, Hungary, and Israel. The authors are grateful to C. Adelmann and S. Mertens (IMEC) for their thin film expertise. For metrology support, we thank J. Meersschaut, T. Conard, H. Bender, I. Pollentier, and A. Pacco (IMEC). We appreciate the support of K. Ronse, and S. Van Elshocht (IMEC).

Author Contributions

We confirm that all authors contributed to this study. Eric Hendrickx and Marc Heyns did project management and gave initial ideas. Vu Luong and Vicky Philipsen conceived and designed the experiments. Vu Luong and Karl Opsomer prepared the samples. Vu Luong performed beaker tests, the XRR measurement and analysis. Vu Luong requested RBS, ERDA, XPS, and TEM measurements from imec’s metrology support, and analyzed those data. Karl Opsomer and Christophe Detavernier were responsible for XRD and IS-XRD. Christian Laubis and Frank Scholze did the EUVR measurements and analysis. Vicky Philipsen carried out the imaging simulations and analysis. Vu Luong wrote the manuscript. All authors did the final manuscript review.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Hill, S.; Ermanoski, I.; Tarrio, C.; Lucatorto, T.; Madey, T.; Bajt, S.; Fang, M.; Chandhok, M. Critical parameters influencing the EUV-induced damage of Ru-capped multilayer mirrors. In Proceedings of the SPIE 6517, Emerging Lithographic Technologies XI, San Jose, CA, USA, 27 February–1 March 2007. [Google Scholar]
  2. Erdmann, A.; Xu, D.; Evanschitzky, P.; Philipsen, V.; Luong, V.; Hendrickx, E. Characterization and mitigation of 3D mask effects in extreme ultraviolet lithography. Adv. Opt. Technol. 2017, 3–4, 187–201. [Google Scholar] [CrossRef]
  3. Davydova, N.; de Kruif, R.; Rolff, H.; Connolly, B.; van Setten, E.; Lammers, A.; Oorschot, D.; Fukugami, N.; Kodera, Y. Experimental approach to EUV imaging enhancement by mask absorber height optimization. In Proceedings of the SPIE 8886, 29th European Mask and Lithography Conference, Dresden, Germany, 25–27 June 2013. [Google Scholar]
  4. Burkhardt, M.; Raghunathan, A. Best focus shift mechanism for thick masks. In Proceedings of the SPIE 9422, Extreme Ultraviolet (EUV) Lithography VI, San Jose, CA, USA, 23–26 February 2015. [Google Scholar]
  5. Philipsen, V.; Hendrickx, E.; Verduijn, E.; Raghunathan, S.; Wood, O.R., II; Soltwisch, V.; Scholze, F.; Davydova, N.; Mangat, P. Imaging impact of multilayer tuning in EUV masks, experimental validation. In Proceedings of the SPIE 9235, Photomask Technology, Monterey, CA, USA, 16–18 September 2014. [Google Scholar]
  6. Shih, C.; Yu, S.; Lu, Y.; Chung, C.; Chen, J.; Yen, A. Mitigation of image contrast loss due to mask-side non-telecentricity in an EUV scanner. In Proceedings of the SPIE 9422, Extreme Ultraviolet (EUV) Lithography VI, San Jose, CA, USA, 23–26 February 2015. [Google Scholar]
  7. Finders, J.; Galvier, J. Mask 3D induced phase and the mitigation by absorber optimization. In Proceedings of the SPIE 9426, Optical Microlithography XXVIII, San Jose, CA, USA, 24–26 February 2015. [Google Scholar]
  8. Wood, O.R., II; Raghunathan, S.; Mangat, P.; Philipsen, V.; Luong, V.; Kearney, P.; Verduijn, E.; Kumar, A.; Patil, S.; Laubis, C.; et al. Alternative materials for high numerical aperture extreme ultraviolet lithography mask stacks. In Proceedings of the SPIE 9422, Extreme Ultraviolet (EUV) Lithography VI, San Jose, CA, USA, 23–26 February 2015. [Google Scholar]
  9. Philipsen, V.; Luong, V.; Souriau, L.; Hendrickx, E.; Erdmann, A.; Xu, D.; Evanschitzky, P.; van de Kruijs, R.W.E.; Edrisi, A.; Scholze, F.; et al. Reducing EUV mask 3D effects by alternative metal absorbers. In Proceedings of the SPIE 10143, Extreme Ultraviolet (EUV) Lithography VIII, San Jose, CA, USA, 27 February–2 March 2017. [Google Scholar]
  10. Van Look, L.; Mochi, I.; Philipsen, V.; Gallagher, E.; Hendrickx, E.; McIntyre, G.; Wittebrood, F.; Lyakhova, K.; de Winter, L.; Last, T.; et al. Mask 3D effect mitigation by source optimization and assist feature placement. In Proceedings of the International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan, 24–26 October 2016. [Google Scholar]
  11. Mochi, I.; Philipsen, V.; Gallagher, E.; Hendrickx, E.; Lyakhova, K.; Wittebrood, F.; Schiffelers, G.; Fliervoet, T.; Wang, S.; Hsu, S.; et al. Assist features: Placement, impact, and relevance for EUV imaging. In Proceedings of the SPIE 9776, Extreme Ultraviolet (EUV) Lithography VII, San Jose, CA, USA, 22–25 February 2016. [Google Scholar]
  12. Van Schoot, J.; Schenau, K.V.; Bottiglieri, G.; Troost, K.; Zimmerman, J.; Migura, S.; Kneer, B.; Neumann, J.; Kaiser, W. EUV high-NA scanner and mask optimization for sub 8nm resolution. In Proceedings of the SPIE 9635, Photomask Technology, Monterey, CA, USA, 29–30 September 2015. [Google Scholar]
  13. Philipsen, V.; Luong, V.; Hendrickx, E.; Erdmann, A.; Xu, D.; Evanschitzky, P.; van de Kruijs, R.R.; Edresi, A.; Scholze, F.; Laubis, C.; et al. Mitigating EUV mask 3D effects by alternative metal absorbers. In Proceedings of the EUVL Symposium, Hiroshima, Japan, 24–26 October 2016. [Google Scholar]
  14. Henke, B.; Gullikson, E.; Davis, J. X-ray interactions: Photoabsorption, scattering, transmission, and reflection at E = 50–30,000 eV, Z = 1–92. Atomic Data Nucl. Data Tables 1993, 54, 181–342. [Google Scholar] [CrossRef]
  15. Luong, V.; Philipsen, V.; Scholze, F.; Kruijs, R.V.; Edrisi, A.; Wood, O.R., II; Singh, M.; Hendrickx, E.; Heyns, M. Optimized EUV Mask Absorber Stack for Improved Imaging by Reducing Crystallinity of Alternative Absorber Materials. In Proceedings of the International Symposium on Extreme Ultraviolet Lithography, Hiroshima, Japan, 24–26 October 2016. [Google Scholar]
  16. Constantin, R.; Steinmann, P.; Manasterski, C. Decorative PVD coatings. In Nanomaterials and Surface Engineering; ISTE Ltd.: London, UK; John Wiley & Sons, Inc.: Hoboken, NJ, USA, 2010; pp. 153–155. [Google Scholar]
  17. Yan, P.Y. Impact of EUVL mask buffer and absorber material properties on mask quality and performance. In Proceedings of the SPIE 4688, Emerging Lithographic Technologies VI, Santa Clara, CA, USA, 5–7 March 2002. [Google Scholar]
  18. Erdmann, A.; Evanschitzky, P.; Neumann, J.T.; Graeupner, P. Mask-induced best-focus shifts in deep ultraviolet and extreme ultraviolet lithography. J. Micro/Nanolithogr. MEMS MOEMS 2016, 15, 021205. [Google Scholar] [CrossRef]
  19. Saltykov, P.; Cornish, L.; Cacciamani, G.; MSIT®; Effenberg, G. (Eds.) Al-Ni Binary Phase Diagram Evaluation. Phase Diagrams, Crystallographic and Thermodynamic Data: Datasheet from MSI Eureka in SpringerMaterials. MSI Materials Science International Services GmbH, SpringerMaterials. 2004. Available online: http://materials.springer.com/msi/docs/sm_msi_r_20_010238_01 (accessed on 14 December 2017).
  20. Tamura, S.; Kanayama, K.; Nishiyama, Y.; Matsuo, T.; Tamura, A. Optimization of TaSix absorber stack. In Proceedings of the SPIE 6283, Photomask and Next-Generation Lithography Mask Technology XIII, Yokohama, Japan, 18–20 April 2006. [Google Scholar]
  21. Hayashi, K.; Uno, T. Reflective Mask Blank for Euv Lithography. U.S. Patent 13/346,026, 3 May 2012. [Google Scholar]
  22. Mirkarimi, P.B.; Montcalm, C. Advances in the reduction and compensation of film stress in high-reflectance multilayer coatings for extreme-ultraviolet lithography. In Proceedings of the 23rd Annual International Symposium on Microlithography, Santa Clara, CA, USA, 22–27 February 1998. [Google Scholar]
  23. Gallagher, E.E.; Vanpaemel, J.; Pollentier, I.; Zahedmanesh, H.; Adelmann, C.; Huyghebaert, C.; Jonckheere, R.; Lee, J.U. Properties and performance of EUVL pellicle membranes. In Proceedings of the SPIE 9635, Photomask Technology, Monterey, CA, USA, 29–30 September 2015. [Google Scholar]
  24. Pollentier, I.; Vanpaemel, J.; Lee, J.U.; Adelmann, C.; Zahedmanesh, H.; Huyghebaert, C.; Gallagher, E.E. EUV lithography imaging using novel pellicle membranes. In Proceedings of the SPIE 9776, Extreme Ultraviolet (EUV) Lithography VII, San Jose, CA, USA, 22–25 February 2016. [Google Scholar]
  25. Dattilo, D.; Dietze, U.; Hsu, J.-W. Ruthenium capping layer preservation for 100X clean through pH. In Proceedings of the SPIE 9635, Photomask Technology, Monterey, CA, USA, 29–30 September 2015. [Google Scholar]
  26. Hosoya, M.; Sakaya, N.; Nozawa, O.; Shiota, Y.; Hamamoto, K.; Nagarekawa, O.; Shimojima, S.; Shoki, T.; Watanabe, T.; Kinoshita, H. Evaluating the Optical Index of Ta and Ta-Based Absorbers for an Extreme Ultraviolet Mask Using Extreme Ultraviolet Reflectometry. Jpn. J. Appl. Phys. 2008, 47, 4898–4905. [Google Scholar] [CrossRef]
  27. Qi, Z.J.; Gallagher, E.; Negishi, Y.; McIntyre, G.; Zweber, A.; Senna, T.; Akutagawa, S.; Konishi, T. Impact of EUV photomask line-edge roughness on wafer prints. In Proceedings of the SPIE 8522, Photomask Technology, Monterey, CA, USA, 11–13 September 2012. [Google Scholar]
  28. Qi, Z.J.; Rankin, J.; Sun, L.; Levinson, H. Contribution of EUV mask CD variability on LCDU. In Proceedings of the SPIE 10143, Extreme Ultraviolet (EUV) Lithography VIII, San Jose, CA, USA, 27 February–2 March 2017. [Google Scholar]
  29. Chiba, A.; Takahashi, M.; Yamanashi, H.; Hoko, H.; Hoshino, E.; Hirano, N.; Lee, B.T.; Ogawa, T.; Ito, M.; Okazaki, S. Theoretical Analysis of Placement Error due to Absorber Pattern on Extreme Ultraviolet Lithography Mask. Jpn. J. Appl. Phys. 2002, 40, 5342. [Google Scholar] [CrossRef]
  30. Patil, S.; Singh, S.; Okoroanyanwu, U.; Wood, O.; Mangat, P. Mask Structures and Methods of Manufacturing. U.S. Patent 9195132 B2, 30 January 2014. [Google Scholar]
  31. Soufli, R.; Bajt, S. Multilayer Coatings for EUVL. In EUV Lithography; Bakshi, V., Ed.; John Wiley & Sons: Hoboken, NJ, USA, 2009; p. 189. [Google Scholar]
  32. Smith, K.H.; Wasson, J.; Mangat, P.; Dauksher, W.; Resnick, D. Cr absorber etch process for extreme ultraviolet lithography mask fabrication. J. Vac. Sci. Technol. B 2001, 19, 2906. [Google Scholar] [CrossRef]
  33. Du, Y.; Choi, C.; Zhang, G.; Park, S.; Yan, P.; Baik, K. TaN-based EUV mask absorber etch study. In Proceedings of the SPIE 6283, Photomask and Next-Generation Lithography Mask Technology XIII, Yokohama, Japan, 18–20 April 2006. [Google Scholar]
  34. Demirci, E.; Winkler, A. Condensation and desorption of nickel tetra-carbonyl on Cu (1 1 0). Surf. Sci. 2009, 603, 3068–3071. [Google Scholar] [CrossRef]
  35. Chen, J.; Altieri, N.; Kim, T.; Chen, E.; Lill, T.; Shen, M.; Chang, J. Directional etch of magnetic and noble metals. II. Organic chemical vapor etch. J. Vac. Sci. Technol. 2017, 35, 05C305. [Google Scholar] [CrossRef]
  36. Kanarika, K.J.; Tan, S.; Yang, W.; Kim, T.; Lill, T.; Kabansky, A.; Hudson, E.A.; Ohba, T.; Nojiri, K.; Yu, J.; et al. Predicting synergy in atomic layer etching. J. Vac. Sci. Technol. A Vac. Surf. Films 2017, 35, 05C302. [Google Scholar] [CrossRef]
  37. Philipsen, V.; Luong, V.; Souriau, L.; Altamirano-Sánchez, E.; Adelmann, C.; Laubis, C.; Scholtze, F.; Kruemberg, J.; Reuter, C.; Hendrickx, E. Single element and metal alloy novel EUV mask absorbers for improved imaging. In Proceedings of the SPIE 10450, International Conference on Extreme Ultraviolet Lithography, Monterey, CA, USA, 11–14 September 2017. [Google Scholar]
  38. Bajt, S. Optics Contamination. In EUV Lithography; Bakshi, V., Ed.; John Wiley & Sons: Hoboken, NJ, USA, 2009; pp. 229–230. [Google Scholar]
  39. Youssef, M.; Yang, M.; Yildiz, B. Doping in the Valley of Hydrogen Solubility: A Route to Designing. Phys. Rev. Appl. 2016, 5, 014008. [Google Scholar] [CrossRef]
  40. Cho, H.; Ahn, J. EUV Mask and Mask Metrology. In EUV Lithography; Bakshi, V., Ed.; John Wiley & Sons: Hoboken, NJ, USA, 2009; p. 351. [Google Scholar]
  41. Waiblinger, M.; Kornilov, K.; Hofmann, T.; Edinger, K. e-beam induced EUV photomask repair—A perfect match. In Proceedings of the European Mask and Lithography Conference, Grenoble, France, 18–20 January 2010. [Google Scholar]
  42. Lawliss, M.; Gallagher, E.; Hibbs, M.; Seki, K.; Isogawa, T.; Robinson, T.; LeClaire, J. Repairing native defects on EUV mask blanks. In Proceedings of the SPIE 9235, Photomask Technology, Monterey, CA, USA, 16–18 September 2014. [Google Scholar]
  43. Muniz, F.T.L.; Miranda, M.A.R.; Santosa, C.M.d.; Sasaki, J.M. The Scherrer equation and the dynamical theory of X-ray diffraction. Acta Crystallogr. Sect. A Found. Adv. 2016, 72, 385–390. [Google Scholar] [CrossRef] [PubMed]
  44. Pourbaix, M. Atlas of Electrochemical Equilibria in Aqueous Solutions; National Association of Corrosion Engineers: Houston, TX, USA, 1974; Volume 52, p. 171. [Google Scholar]
  45. Rastegar, A.; House, M.; Tian, R.; Laursen, T.; Antohe, A.; Kearney, P. Study of alternative capping and absorber layers for extreme ultraviolet (EUV) masks for sub-16nm half-pitch nodes. In Proceedings of the SPIE 9048, Extreme Ultraviolet (EUV) Lithography V, San Jose, CA, USA, 24–27 February 2014. [Google Scholar]
  46. Springer Materials. Springer International Publishing. Available online: http://materials.springer.com/ (accessed on 4 January 2018).
  47. Han, K.; Choo, W. Carbon effect on ordering of γ’-Ni3Al in rapidly solidified Ni3Al-C alloys. Scr. Metall. 1983, 17, 281–284. [Google Scholar] [CrossRef]
  48. Rusovic, N.; Henig, E. Influence of Supersaturated Thermal Vacancies on the Elastic Constants of β2-NiAl. Phys. Status Solidi A Appl. Res. 1980, 57, 529–540. [Google Scholar] [CrossRef]
  49. Sridharan, S.; Nowotny, H.; Wayne, S. Investigations within the Quaternary System Titanium-Nickel-Aluminium-Carbon. Monatshefte für Chemie 1983, 114, 127–135. [Google Scholar] [CrossRef]
  50. Scholze, F.; Laubis, C.; Luong, K.V.; Philipsen, V. Update on optical material properties for alternative EUV mask absorber materials. In Proceedings of the 33rd European Mask and Lithography Conference, Dresden, Germany, 26–28 June 2017. [Google Scholar]
  51. Bearden, J.; Burr, A. Reevaluation of X-Ray Atomic Energy Levels. Rev. Mod. Phys. 1967, 39, 125–142. [Google Scholar] [CrossRef]
  52. Philipsen, V.; Hendrickx, E.; Jonckheere, R.; Davydova, N.; Fliervoet, T.; Neumann, J.T. Actinic characterization and modeling of the EUV mask stack. In Proceedings of the SPIE 8886, 29th European Mask and Lithography Conference, Dresden, Germany, 25–27 June 2013. [Google Scholar]
Figure 1. Cross-section of an extreme ultraviolet (EUV) binary intensity mask (BIM). The oblique incident EUV light, with chief ray angle of 6° from normal, is reflected by the multilayer (ML) mirror or absorbed by the absorber layer. The mask topography has an impact on EUV reflection, resulting in mask 3D (M3D) effects. Angles and thickness are for illustrative purposes only, and are not to scale.
Figure 1. Cross-section of an extreme ultraviolet (EUV) binary intensity mask (BIM). The oblique incident EUV light, with chief ray angle of 6° from normal, is reflected by the multilayer (ML) mirror or absorbed by the absorber layer. The mask topography has an impact on EUV reflection, resulting in mask 3D (M3D) effects. Angles and thickness are for illustrative purposes only, and are not to scale.
Applsci 08 00521 g001
Figure 2. Extinction coefficient κ versus refraction coefficient n at 13.5 nm EUV wavelength for elements with atomic number from Z = 11 (Na) to Z = 83 (Bi), excluding the lanthanide series and noble gases. Data from Henke et al. [14].
Figure 2. Extinction coefficient κ versus refraction coefficient n at 13.5 nm EUV wavelength for elements with atomic number from Z = 11 (Na) to Z = 83 (Bi), excluding the lanthanide series and noble gases. Data from Henke et al. [14].
Applsci 08 00521 g002
Figure 3. Thermodynamic binary phase diagram of Ni-Al system. Stable phases are colored yellow. Red dashed lines represent the nominal NixAly compositions. Reproduced from Saltykov et al. [19].
Figure 3. Thermodynamic binary phase diagram of Ni-Al system. Stable phases are colored yellow. Red dashed lines represent the nominal NixAly compositions. Reproduced from Saltykov et al. [19].
Applsci 08 00521 g003
Figure 4. (a) TEM images of Ni3Al, NiAl and Ni2Al3 film cross-section; (b) Comparison crystallinity of Ni3Al, NiAl and Ni2Al3.
Figure 4. (a) TEM images of Ni3Al, NiAl and Ni2Al3 film cross-section; (b) Comparison crystallinity of Ni3Al, NiAl and Ni2Al3.
Applsci 08 00521 g004
Figure 5. (a) X-ray diffractometry (XRD) spectra of Ni (black), Ni3Al (blue), NiAl (red), and Ni2Al3 (green). Samples as-deposited and after thermal load up to 500 °C, are represented by diamonds and plus signs respectively; (b) In-situ XRD (IS-XRD) spectra of Ni3Al, NiAl, and Ni2Al3, showing the evolution of diffracted intensity as function of the temperatures of measurement. The intensity scale is represented in a pseudo-color map, with white representing the lowest intensity, blue intermediate intensity and red highest intensity. Onset of Ni2Al3 recrystallization is indicated by the red arrow.
Figure 5. (a) X-ray diffractometry (XRD) spectra of Ni (black), Ni3Al (blue), NiAl (red), and Ni2Al3 (green). Samples as-deposited and after thermal load up to 500 °C, are represented by diamonds and plus signs respectively; (b) In-situ XRD (IS-XRD) spectra of Ni3Al, NiAl, and Ni2Al3, showing the evolution of diffracted intensity as function of the temperatures of measurement. The intensity scale is represented in a pseudo-color map, with white representing the lowest intensity, blue intermediate intensity and red highest intensity. Onset of Ni2Al3 recrystallization is indicated by the red arrow.
Applsci 08 00521 g005
Figure 6. (a) X-ray reflectometry (XRR) spectra of Ni3Al reference, after 110 h H*, after 24 h DIW, and after 24 h NH4OH; (b) Pourbaix diagram of Al-water system at 25 °C, showing the phases of Al in function of electrochemical potential VSHE and acidity pH, at an effective Al3+/AlO2 concentration of 10−6 (orange line) and 10−2 (green line). The pH range of DIW, and NH4OH are shown in purple, and red respectively. The stability region of water lies between the dashed blue lines. Modified from Pourbaix [44].
Figure 6. (a) X-ray reflectometry (XRR) spectra of Ni3Al reference, after 110 h H*, after 24 h DIW, and after 24 h NH4OH; (b) Pourbaix diagram of Al-water system at 25 °C, showing the phases of Al in function of electrochemical potential VSHE and acidity pH, at an effective Al3+/AlO2 concentration of 10−6 (orange line) and 10−2 (green line). The pH range of DIW, and NH4OH are shown in purple, and red respectively. The stability region of water lies between the dashed blue lines. Modified from Pourbaix [44].
Applsci 08 00521 g006
Figure 7. Average composition comparison of Ni3Al reference, after 110 h H*, and after 24 h DIW. The areal atomic density is normalized to Ni signal. The error bars represent the areal atomic density standard deviation.
Figure 7. Average composition comparison of Ni3Al reference, after 110 h H*, and after 24 h DIW. The areal atomic density is normalized to Ni signal. The error bars represent the areal atomic density standard deviation.
Applsci 08 00521 g007
Figure 8. X-ray photoelectron spectroscopy (XPS) depth profile of Ni2Al3, showing Al2p, Ni2p, and Ni2p satellite (sat.) peaks.
Figure 8. X-ray photoelectron spectroscopy (XPS) depth profile of Ni2Al3, showing Al2p, Ni2p, and Ni2p satellite (sat.) peaks.
Applsci 08 00521 g008
Figure 9. (a) TEM-EDS images of Ni2Al3 showing O, Al, and Ni traces; (b) TEM-EDS line scan along the arrow direction.
Figure 9. (a) TEM-EDS images of Ni2Al3 showing O, Al, and Ni traces; (b) TEM-EDS line scan along the arrow direction.
Applsci 08 00521 g009
Figure 10. (a) XRR spectra of Ni2Al3 showing measured data (blue dots) and fitting result (red line); (b) Density profile of Ni2Al3 calculated by XRR spectra fit, with the dashed black line representing layer thicknesses based on TEM result and crystalline density based on literature values.
Figure 10. (a) XRR spectra of Ni2Al3 showing measured data (blue dots) and fitting result (red line); (b) Density profile of Ni2Al3 calculated by XRR spectra fit, with the dashed black line representing layer thicknesses based on TEM result and crystalline density based on literature values.
Applsci 08 00521 g010
Figure 11. Optical constants of Ni3Al (full circle), NiAl (full triangle), Ni3Al (full square), and their surface oxide (open circle, triangle, square): calculated from crystalline density and nominal composition (blue), calculated from XRR measured bulk density and bulk composition (orange), and calculated from EUVR fitting (green).
Figure 11. Optical constants of Ni3Al (full circle), NiAl (full triangle), Ni3Al (full square), and their surface oxide (open circle, triangle, square): calculated from crystalline density and nominal composition (blue), calculated from XRR measured bulk density and bulk composition (orange), and calculated from EUVR fitting (green).
Applsci 08 00521 g011
Figure 12. (a) Absorption edges of elements between Z = 11 (Na) and Z = 30 (Zn) through wavelength. Data from Bearden and Burr [51]. Measured Al L2 absorption edge of (b) bulk, and (c) surface oxide of Ni3Al (blue), NiAl (orange), and Ni2Al3 (green), compared to theoretical Al L2 absorption edge of elementary Al and crystalline Al2O3 (black) respectively. The refractive coefficient is n represented by full lines, the extinction coefficient κ by dashed lines.
Figure 12. (a) Absorption edges of elements between Z = 11 (Na) and Z = 30 (Zn) through wavelength. Data from Bearden and Burr [51]. Measured Al L2 absorption edge of (b) bulk, and (c) surface oxide of Ni3Al (blue), NiAl (orange), and Ni2Al3 (green), compared to theoretical Al L2 absorption edge of elementary Al and crystalline Al2O3 (black) respectively. The refractive coefficient is n represented by full lines, the extinction coefficient κ by dashed lines.
Applsci 08 00521 g012
Figure 13. Simulated EUV reflectance at 6° chief-ray incidence angle over a range of absorber thickness, for Ni3Al, NiAl, and Ni2Al3 absorbers. The dashed line represents the 2% EUV reflectance spec. The marks show the absorber thicknesses chosen for the different absorber models.
Figure 13. Simulated EUV reflectance at 6° chief-ray incidence angle over a range of absorber thickness, for Ni3Al, NiAl, and Ni2Al3 absorbers. The dashed line represents the 2% EUV reflectance spec. The marks show the absorber thicknesses chosen for the different absorber models.
Applsci 08 00521 g013
Figure 14. Comparison of (a) threshold-to-size, (b) shadowing effect, (c) best focus shift through pitch, (d) pattern shift through focus, and (e) contrast change normalized to 32 nm Ni absorber at 32 nm pitch for the following absorber stack: 60 nm TaBN, 32 nm Ni, 32 nm Ni3Al, 31 nm NiAl, 31 nm Ni2Al3, 39 nm Ni3Al, 38 nm NiAl, and 38 nm Ni2Al3, at 0.33 NA for (f) Quasar (blue) and DipoleY (orange) illumination.
Figure 14. Comparison of (a) threshold-to-size, (b) shadowing effect, (c) best focus shift through pitch, (d) pattern shift through focus, and (e) contrast change normalized to 32 nm Ni absorber at 32 nm pitch for the following absorber stack: 60 nm TaBN, 32 nm Ni, 32 nm Ni3Al, 31 nm NiAl, 31 nm Ni2Al3, 39 nm Ni3Al, 38 nm NiAl, and 38 nm Ni2Al3, at 0.33 NA for (f) Quasar (blue) and DipoleY (orange) illumination.
Applsci 08 00521 g014
Figure 15. (a) Overlapping process windows, and (b) overlapping depth-of-focus under the DipoleY illumination at 0.33 NA of 16 nm trenches at a pitch of 32 nm (red), 44 nm (blue), and 60 nm (green), for 60 nm TaBN, 32 nm Ni, 39 nm Ni3Al, and 31 nm Ni2Al3 mask absorbers.
Figure 15. (a) Overlapping process windows, and (b) overlapping depth-of-focus under the DipoleY illumination at 0.33 NA of 16 nm trenches at a pitch of 32 nm (red), 44 nm (blue), and 60 nm (green), for 60 nm TaBN, 32 nm Ni, 39 nm Ni3Al, and 31 nm Ni2Al3 mask absorbers.
Applsci 08 00521 g015
Table 1. Specifications for novel EUV absorbers and the performed metrology.
Table 1. Specifications for novel EUV absorbers and the performed metrology.
CharacterizationSpecificationMetrology Performed
Film morphologyAmorphous or nano-crystalline to reduce line edge roughness [18,19]Transmission electron microscopy (TEM)
X-ray diffraction (XRD): theta-2theta configuration to determine crystal orientation parallel to surface [20]. In-situ XRD (IS-XRD) to inspect crystallization across temperature range
Reference TaBN absorber has surface roughness <0.3 nm RMS [21]-
Mechanical stressResidual film stress of full photomask stack must be within ±180 GPa to maintain photomask flatness [21]-
Mask processingGood adhesion on Ru capping layer, including during cleaning-
Small critical dimension (CD) etch bias and etch selectivity to the capping layer-
Thermal budget <150 °C to protect the ML mirror’s reflectance [22]-
Scanner compatibilityLow vapor pressure to avoid volatile formation at reduced scanner pressure, in combination with elevated temperature during exposure [23]-
No phase transformation between room temperature and working temperature -
Hydrogen resistant110 h of exposure to H* radicals, generated by EUV Tech hydrogen cleaner [24];
Composition change measured with Rutherford backscattering spectroscopy (RBS) and elastic recoil detection analysis (ERDA)
Defect inspectionOxide anti-reflective coating (ARC) for contrast in deep ultraviolet wavelength range (DUV) inspection-
Defect repairCapability to form volatiles to be compatible with electron beam repair-
Cleaning durabilityStable in (preferably basic) cleaning solutions [25]Beaker test by submerging alloys in solutions of deionized water (DIW) and ammonium hydroxide NH4OH;
Composition change measured with RBS/ERDA
Optical constantsHigh κ and n close to unityEUVR for fitting optical constants [20,26]
Table 2. Methods for thin film composition and density determination.
Table 2. Methods for thin film composition and density determination.
CharacterizationMetrology
Surface chemical stateX-ray photoelectron spectroscopy (XPS) [26]
Bulk elemental compositionSputter-assisted XPS for composition depth profile
TEM with energy dispersive X-ray analysis (TEM-EDS)
Density for optical constant estimationX-ray reflectometry (XRR)
Layer thicknessXRR and TEM
Table 3. Peak position, full width at half-maximum (FWHM) and estimated average Ni(-Al) grain size of Ni, Ni3Al, NiAl, and Ni2Al3 as-deposited and after thermal loading up to 500 °C by in-situ X-ray diffractometry (IS-XRD).
Table 3. Peak position, full width at half-maximum (FWHM) and estimated average Ni(-Al) grain size of Ni, Ni3Al, NiAl, and Ni2Al3 as-deposited and after thermal loading up to 500 °C by in-situ X-ray diffractometry (IS-XRD).
SampleBefore/After Thermal Loading to 500 °CPeak Position 2θ (°)FWHM (°)Avg. Ni(-Al) Grain Size τ (nm)
Nibefore44.430 ± 0.0110.653 ± 0.03513.7 ± 5.4%
Ni3Albefore44.607 ± 0.0131.894 ± 0.0524.7 ± 2.7%
after44.457 ± 0.0091.851 ± 0.0344.8 ± 2.0%
NiAlbefore44.618 ± 0.0060.794 ± 0.01811.3 ± 2.2%
after44.688 ± 0.0040.676 ± 0.01113.3 ± 1.7%
Ni2Al3before44.502 ± 0.0441.323 ± 0.1576.8 ± 12%
after45.239 ± 0.0070.687 ± 0.02113.1 ± 3.1%
Table 4. Comparison of bulk density and composition as determined by XRR to crystalline density and nominal composition for Ni3Al, NiAl, and Ni2Al3. Crystalline density is calculated from lattice parameters found in literature on Material Springer database [46].
Table 4. Comparison of bulk density and composition as determined by XRR to crystalline density and nominal composition for Ni3Al, NiAl, and Ni2Al3. Crystalline density is calculated from lattice parameters found in literature on Material Springer database [46].
CharacterizationSampleXRRLiterature/Nominal
Bulk density
(g/cm³)
Ni3Al6.95 ± 0.057.44 [47]
NiAl6.77 ± 0.125.92 [48]
Ni2Al35.46 ± 0.044.75 [49]
Bulk composition Ni/Al (at %/at %)Ni3Al76.7/23.375/25
NiAl74.3/25.750/50
Ni2Al354.8/45.240/60
Table 5. Optical constants at 13.5 nm EUV wavelength obtained from fitting EUV reflectometry (EUVR) measurements.
Table 5. Optical constants at 13.5 nm EUV wavelength obtained from fitting EUV reflectometry (EUVR) measurements.
SampleBulk nBulk κOxide nOxide κ
Ni3Al0.97620.06300.96520.0242
NiAl0.98630.06010.96910.0220
Ni2Al30.99360.04880.96810.0229

Share and Cite

MDPI and ACS Style

Luong, V.; Philipsen, V.; Hendrickx, E.; Opsomer, K.; Detavernier, C.; Laubis, C.; Scholze, F.; Heyns, M. Ni-Al Alloys as Alternative EUV Mask Absorber. Appl. Sci. 2018, 8, 521. https://doi.org/10.3390/app8040521

AMA Style

Luong V, Philipsen V, Hendrickx E, Opsomer K, Detavernier C, Laubis C, Scholze F, Heyns M. Ni-Al Alloys as Alternative EUV Mask Absorber. Applied Sciences. 2018; 8(4):521. https://doi.org/10.3390/app8040521

Chicago/Turabian Style

Luong, Vu, Vicky Philipsen, Eric Hendrickx, Karl Opsomer, Christophe Detavernier, Christian Laubis, Frank Scholze, and Marc Heyns. 2018. "Ni-Al Alloys as Alternative EUV Mask Absorber" Applied Sciences 8, no. 4: 521. https://doi.org/10.3390/app8040521

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop