Next Article in Journal
Safe Hb Concentration Measurement during Bladder Irrigation Using Artificial Intelligence
Next Article in Special Issue
A Frequency-Reconfigurable Filtenna for GSM, 4G-LTE, ISM, and 5G Sub-6 GHz Band Applications
Previous Article in Journal
Research on Energy Efficiency of NOMA–SWIPT Cooperative Relay Network Using GS-DinkelBach Algorithm
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Low-Voltage DC-DC Converter for IoT and On-Chip Energy Harvester Applications

Institute of Electronics and Photonics, Faculty of Electrical Engineering and Information Technology, Slovak University of Technology, Ilkovicova 3, 81219 Bratislava, Slovakia
*
Author to whom correspondence should be addressed.
Sensors 2021, 21(17), 5721; https://doi.org/10.3390/s21175721
Submission received: 20 July 2021 / Revised: 19 August 2021 / Accepted: 20 August 2021 / Published: 25 August 2021
(This article belongs to the Special Issue Wearable Antennas and Wearable Wireless Communication Systems)

Abstract

:
The power saving issue and clean energy harvesting for wireless and cost-affordable electronics (e.g., IoT applications, sensor nodes or medical implants), have recently become attractive research topics. With this in mind, the paper addresses one of the most important parts of the energy conversion system chain – the power management unit. The core of such a unit will be formed by an inductorless, low-voltage DC-DC converter based on the cross-coupled dynamic-threshold charge pump topology. The charge pump utilizes a power-efficient ON/OFF regulation feedback loop, specially designed for strict low-voltage start-up conditions by a driver booster. Taken together, they serve as the masters to control the charge pump output (up to 600 mV), depending on the voltage value produced by a renewable energy source available in the environment. The low-power feature is also ensured by a careful design of the hysteresis-based bulk-driven comparator and fully integrated switched-capacitor voltage divider, omitting the static power consumption. The presented converter can also employ the on-chip RF-based energy harvester for use in a wireless power transfer system.

1. Introduction and Motivation

Recent advances in design and fabrication of integrated circuits (ICs) opened a space for the development of wireless, miniature and powerful sensor nodes, as well as wearable biomedical devices. Since the device’s case size is constrained to a few cm 3 , standard IC power supplies using a battery are rather limited. This constraint forces the development of new IC design and power management methods for low-voltage and low-power conditions. These approaches include the following:
  • Energy harvesting using power tracking algorithms;
  • Low-voltage circuit topologies;
  • Voltage converters with low start-up voltage;
  • Low-voltage driving circuits.
Energy harvesters (EHs) are based on converting an alternative energy source to electrical energy [1]. Among others, photovoltaic, thermoelectric [2], piezoelectric [3,4], acoustic [5], triboelectric [6] or electromagnetic generators [7,8] are widely used for this purpose. Supplying the IC by using an appropriate EH allows the whole device to become energetically autonomous. On the other hand, considering a small build volume, the EH output voltage value and also power are insufficient in continuously supplying an integrated circuit. As overall EH methodology has become promising, solutions for the above mentioned issue have emerged.
The radio-frequency energy as a potential power source reaches relatively low power density compared to solar and piezoelectric energy [9]. On the other hand, it is more stable due to source regularity and lower weather dependency. Taking these attributes into account, the radio-frequency energy harvesting (RF-EH) is widely adopted for ultra-low power IC and their application. The RF-EH achieves high reliability and small form factor of a transducer. According to the state-of-the art, the RF-EH systems dominantly employ inductive DC-DC converters. These are more attractive compared to the capacitive counterparts (charge pumps) due to the higher power conversion efficiency (PCE) and suitability for low-voltage start-up [10]. Recent research has revealed that the charge pump-based step-up converters reach improved PCE and start-up ability [11,12]. However, the research across the utilization of charge pumps (CPs) in energy harvesting systems is relatively unexplored [13,14]. This inspires further research towards the examination of CPs as an alternative to inductive step-up converters for ultra-low voltage EH systems.
As the output impedance of an EH system is rather high, it is essential to match the following IC input impedance in order to preserve the EH source capability. The more sophisticated approach is the use of the maximum power point tracking (MPPT) algorithms [15]. MPPT dynamically adjusts the EH load impedance according to its power curve, allowing higher power extraction. In order to achieve sufficiently high value of supply voltage for IC using EHs, the switched regulators are used to carry out the conversion of voltage from lower to higher values. One of the widely used regulator topologies suitable for full integration on the chip are CPs. Its operation is based on a charge being accumulated in capacitors and sequentially propagated to the output through switches. As the charge pump itself must be designed for ultra-low voltage conditions, while being supplied from an EH, the proper operation of switches becomes a challenge. For charge accumulation, CPs utilize rather large capacitors. Such capacitors require adequate drivers, which are well suited with a simple inverter. In order to maintain sufficient propagation delay during capacitor charging, which limits the overall operation, it is crucial to open and close the inverter transistors as much as possible. This otherwise trivial requirement becomes a challenge in the environment of supply voltage under 200 mV. Therefore, the clock signals (CLKs) require a dedicated boosting circuit with adequate driving capability, increasing the value of CLK signal [16]. As every voltage conversion technique has its limits from the efficiency point of view, it is essential to design ICs using low-voltage and low-power approach. In the field of analog IC design, such methods include the g m / I D design methodology and the so-called bulk-driven approach. Such methods of IC design for ultra low-voltage applications form the core of the research presented in this paper, where the bulk-driven design approach has been implemented in the design of several subcircuits (e.g., a charge pump core, a boosted driver, comparator and a voltage divider) in order to improve the total EH performance focused on low-voltage conditions.
From the state of the art development point of view, the most important attributes of the developed EH power management unit (EH-PMU) based on the proposed DC-DC converter are the following:
  • Minimum start-up voltage of CP;
  • Output-to-input voltage ratio;
  • Output voltage ripple;
  • Self-sustainability;
  • Power throughput;
  • Overall EH-PMU effectivity in the target power range.
The last three attributes represent the primary objectives for our research, where the self-sustainability and the overall EH-PMU efficiency are ensured by low-power requirements originating from analogless design, and the power throughput is achieved by the modified structure of the CP core using a dedicated driver and taking into account reliable switching and the associated frequency/timing requirements. The CP-based PMU functionality has been verified by experimental measurements and was successfully implemented into RF-EH systems. Moreover, the valuable experimental results from the bulk-driven design represent a part of the novelty in terms of the application of unconventional design approaches in low-voltage systems.
The paper is organized as follows. In Section 2, the developed DC-DC converter and its main building blocks are described. Section 3 presents the application of the converter in an RF-based energy harvesting system. Evaluation results obtained by the measurement of the experimental chip prototypes are presented in Section 4, where simulated and measured results are compared as well. Comparison of the results achieved in this research with other works is addressed in Section 5, where a short discussion and conclusions can also be found.

2. DC-DC Converter System

In order to address the issues and trends described in the previous section, a regulated low-voltage (subthreshold) step-up DC-DC converter based on switching capacitors, also known as a charge pump, has been proposed. Figure 1 shows the top level block diagram of the developed system with highlighted parts that were integrated on a chip. The CP-based converter consists of the following parts: a CP Core as the main part of the voltage stepping and conversion, an integrated voltage divider realized by switching capacitors (marked as type 1), clock boosters driving digital logic blocks with potentially mismatched supply voltages, a low-voltage comparator, a CP driver and a frequency divider with fixed dead time. The proper connection of these parts into a feedback configuration can control the output voltage V C P O U T to the desired regulated value according to custom specifications. The ON/OFF based regulation feedback loop approach is implemented to manage this task.

2.1. Charge Pump Core

The most important part of the proposed converter is the CP Core (Figure 2). This block consists of nine cells organized into series-parallel configuration creating an unconfigurable structure with three parallel branches (multi-branch structure at higher system level). Each branch consists of three CP cells connected into a cascade. In the ideal case, cascading cells allows boosting the CP Core input voltage V O U T D C up to V O U T D C + N V O U T D C , where N is the number of cascaded stages. This can be achieved if supplying the CP core as well as the driver by the same voltage value. However, non-ideal CPs have many parasitics that deteriorate performance such as the finite output impedance including modulation index and parasitics capacity at switching nodes, etc. [17,18,19]. Under low-voltage subthreshold conditions and the high current throughput requirement (see RF-harvester application in Section 3) across the system, the output impedance plays a very important role and should be minimized as much as possible. In this sense, we would like to state the following:
(a)
Impedance modulation index, which is the result of finite on-state transistor resistance R T , O N of main switches— M N 1 2 and M P 1 2 (see Figure 2) – is usually reduced by bootstrapping techniques implemented in the CP cell [20,21];
(b)
The ideal term N C / k F S W C F L Y , which is valid for linear CP, is topology-dependent and can be managed exclusively by topology interventions. N C is a number of stages in the cascade, k is a number of power flow patches known as branches, F S W is clock frequency and C F L Y represents the main flying capacitor (in Figure 2 denoted as C 1 x N x , where x stands for p or n) [18].
In order to deal with statement ( a ) , the Dynamic Threshold Cross-Coupled Charge Pump (DT-CCCP) has been chosen as a good candidate. Generally, the CCCP has promising potential under low-voltage conditions without applying any special "external" techniques since bootstrapping intrinsically includes such a feature. Despite the few inherited drawbacks, the positives outweigh negatives from a broader perspective [22,23,24]. Moreover, recent research proves that if the dynamic- V T H technique is employed to minimize the impedance modulation index, together with careful design based on a parasitics-aware approach, the current throughput and power efficiency can be very optimistic even in the case of the full on-chip implementation [17]. On the other hand, in relation to statement ( b ) , the CP topology intervention is required. Taking into account the current throughput requirement, the linear dual-branch CP has been selected because it is characterized by lower sensitivity of voltage conversion to the presence of parasitics capacitance (under the same capacitor requirements in the total value) compared to the non-linear CPs (as Fibonacci or exponential ones). For this reason, linear CPs are more suitable for heavy loads since a lower number of stages is needed for the same conversion ratio, and hence the lower output impedance [19]. Additionally, the branch parallelization (equivalent to multi-branch approach at CP cell level) at higher system levels has been used to redistribute the current and decrease the output impedance in both terms ( a ) and ( b ) (the value of the individual capacitors was retained).
Transformation of a single branch into multiple ones withdrew the need for on-state resistance R T , O N of the main switches M N 1 2 and M P 1 2 but brings more strict requirements for the driver, which charges flying capacitors C 1 x N x and shifts-up the voltage at their terminals. Since the system is synchronized into two clock phases, the driver’s inverter must sustain currents flowing through all capacitors during individual phases without any drastic impact on the impedance modulation index. It is important to note that if the system can be investigated through a representative cell [17], such a modification does not affect the ( R C ) c e l l constant of the charging/discharging cell itself if the values of transistor and capacitor are preserved. However, if a driver is non-ideal, i.e., on-state resistance R D , O N has a finite value, the original R T , O N C T O T is increased about N R D , O N C T O T , where C T O T represents the total capacitance observed at the internal center node of the CP cell and N is the total number of CP stages.

2.2. Boosted Driver

Consequently, the proposed CP consists of a stand-alone boosted driver circuit to solve the above-mentioned issue as an alternative to other competitive techniques with different primary purposes in relation to ultra-low voltage research area (e.g., a clock booster based driver, topology reconfiguration approach, implementation of adiabatic principle and many others [10,24,25]. The transistor level schematics of the proposed driver and detailed cross-connection with a complementary replica circuit are shown in Figure 3 and Figure 4, respectively. The implemented driver originates from an already characterized version (presented in [26,27]), which was extended by another auxiliary circuit in order to further improve the performance of the boosted output inverter. The previous version boosts the gate of the high-side part of the inverter formed by PMOS transistor M P 1 to the negative voltage of − 2 V S U P P . The low-side part was of our interest and, therefore, kept untouched. Despite this implementation, the driver has been successfully implemented into a chip prototype of regulated DC-DC converter and is capable in driving more than 100 pF capacitor with a few units of n s propagation delay under the supply voltage value of 200 mV and the clock frequency of 1 MHz [26].
In the converter design, the CP Core has been modified, including a larger number of stages. For this reason, the driver also had to be adapted to that and the improved version also takes care of the inverter low-side formed by the NMOS transistor M N 1 across the boosted gate voltage of 2 V S U P P in the ideal case. The operation principle can be closely examined from Figure 4, where the transistors and capacitors are organized in order to enable a serial-parallel reconfiguration for doubling the voltage value. In Figure 4, the states of individual transistor switches in both clock phases are highlighted, where green and red represents the on-state and off-state, respectively. The blue arrows show the energy flow of the charging/discharging process. It should be noted that the presence of positive voltage higher than the supply value, derived for the input voltage V O U T D C in our case, is not only used for driving the main switch M N 1 but also controls the auxiliary NMOS switches. Including all logic gates, the dynamic- V T H technique has also been employed for some critical transistors in the driver, and for this reason natural trade-off between the maximum supply voltage, switching frequency and capacitor/transistor sizes exists. For instance, the node C L K O U T is relatively sensitive to this trade-off because, during time of driving transistor M N 2 to the voltage of 2 V S U P P , the M N 2 transistor has a negative potential of about − V S U P P at its source terminal and the bulk diode can be activated to discharge C B 3 and charge C B 2 (both effects have negative impact on the driver performance). As a consequence, if 2 V S U P P represents a value that is too high and the frequency is too low, the driver can be the most critical loss contributor, and this is manifested in squeezing the efficiency for the higher input voltage compared to the lower one (in relation to the lower and upper switching frequency). Based on the simulations, in order to ensure a reliable functionality under the nominal conditions across all technology corners and the temperature range (−20 ∘C–85 ∘C), the size of capacitors C B 1 and C B 2 should be doubled (15 pF ⇒ 30 pF) compared to the previous version, and the value of the additional capacitor C B 3 has to set to 40 pF. It should be also noted that the performance of the proposed driver has not been explicitly investigated but was implicitly carried out only as a part of the system to which this work is primarily dedicated.
The inputs to the driver cores are generated by a simple logic acting as gated clock generator controlled by a comparator with hysteresis across a feedback loop. The frequencies of clocks, i.e., C L K C B , L F and complementary twin n C L K C B , L F , are managed by an external C L K E X T signal with half of the clock frequency (see Figure 1). In other words, the frequency divider, with a non-overlapping clock generator, was implemented through standard T flip-flop topology followed by the NAND-based dead-time circuit (with delay gates in feedback path defined). Since the entire driver and frequency divider are supplied by the same voltage V O U T D C during the experiment, using a clock booster or a level shifter in low-frequency branches is irrelevant. Therefore, an optional one (bounded by dashed gray-box in Figure 1) has been designed on a chip but omitted in measurements. We have to make it clear that in Section 4, the frequency range of 5–200 kHz representing the external clocks and clocks for switching the driver has to be considered as smaller by half.

2.3. Voltage Divider Branch

The high-frequency branches are directly fed from the external clocks and drive transmission gates (TGs) in the capacitor-switching voltage divider across fast drivers and inverters (designed as type 1 in top-level block scheme, Figure 1). The main objective of this step is to eliminate static power consumption and exploit a greater inherited compactness compared to bulky resistors with higher resistance value. The proposed voltage divider of type 1 is shown in Figure 5a, where capacitors can be arranged by TGs connected into a serial-parallel cycling reconfiguration. Due to the fact that capacitors C V D 1 and C V D 2 are of the same size (capacitance of 15 pF), the ratio of ≈0.5 is provided at steady state. However, if a dynamic- V T H technique is employed together with quite large TGs ensuring reliable on-state under low-voltage conditions ( C P O U T 400 mV, V T H = 250–300 mV/300–350 mV for long/short channel, respectively, in a standard 130 nm CMOS technology), the dominant parasitics effect such as charge injection and clock feedthrough cannot be fully neglected. Additionally, the dynamic bulk-switching fosters discharging effects that degenerate the dividing ratio (the issues of low frequency). For these phenomena, the post-extract simulation (PEX) under the nominal conditions reveals 92–224 mV of D I V C A P variation in DC value, where for 25 kHz and 50 kHz driving frequencies, the best results of 193.4 mV and 210.3 mV are achieved, respectively. Figure 5b shows the conversion gain transfer function of the C P O U T to D I V C A P node through zero harmonic obtained from the PSS-PAC type analysis. The achieved results demonstrate slightly lower ratio around −7.4 dB in comparison to the intended value of −6 dB. With a link to time-based analysis observations, the non-negligible influence of parasitics effect can be deduced. Adding the filtrating capacitor C V D 3 with the capacitance value of 40 pF at the output node, the capacitor-switching voltage divider is obtaining a character of first order low-pass filter with frequency-dependent corner frequencies of the 1 kHz–20 kHz range related to clocks C L K C B , H F and n C L K C B , H F , which is the characteristic property of many other systems utilizing the switching capacitor technique. Since the divider and control logic are necessarily supplied by the high-voltage domain (i.e., V C P O U T ), the use of the clock booster or level shifter auxiliary circuits must also be a part of the design. In other words, the low-voltage domain (supplied by the input voltage V O U T D C ) must be able to control the high-voltage domain and ensure its reliable operation. For this purpose, the popular Nakagome’s clock booster with dynamic- V T H technique was employed [20,28].
In order to create a complete picture about the developed CP system, it should be also noted that based on the observations described above, an alternative solution in the form of a common resistor-based voltage divider (marked as type 2 in Figure 1) has been investigated, and a comparison is carried out in Section 4.1. In this case, the voltage divider has been realized externally (off-chip) and connected through the D I V E X T node. Thus, the supply voltage of the switched-capacitor voltage divider has been grounded, and the fuse has burnt out.

2.4. Comparator with Hysteresis

The divided voltage V D I V C A P is compared to the reference voltage V R E F C O M P generated externally, and a suitable control signal at node C T R L D R I V E R is produced (see Figure 1). The decision block providing this task is a rail-to-rail voltage comparator depicted in Figure 6, which has been designed for the power supply voltage of 400 mV with emphasis on the robustness and low value of the input offset without the need of post-processing trimming [29,30]. The topology is capable of working with even lower supply voltages thanks to two stacked transistors. The proposed comparator works in the so-called current regime. The input transistors act as current sources modulated by the input voltage applied to their bulk terminals. The current generated in the input branches is then mirrored in order to create the differential voltage in nodes d i f f and d i f f ¯ , which is then processed by digital block. The proposed comparator topology also contains built-in programmable hysteresis controlled by a 2-bit input signal. The hysteresis does not require any external components and its level and symmetry can be fully custom-designed by the W / L ratio of the respective transistors. The enable function shuts-off the current sources, sets the output to a defined logic state, and reduces the power consumption into a leakage level. For this purpose, the L o g 0 level has been selected to allow passing the clock signals into the driver, which in this application ensure a reliable start also at a very low supply voltage. The selected measured characteristics are displayed in Figure 6b, where the measured current draw includes the consumption of several ESD structures, IC package, PCB and probe parasitics. This means that for on-chip application, the power consumption can be considered near or even less then 1 µW under typical conditions, which can be improved by further reduction of the supply voltage.

3. Application

In order to demonstrate the suitability of the developed DC-DC converter in the application example as a PMU in an RF-based energy harvesting system (RF-EH), we demonstrated its functionality in conjunction with a fully integrated wireless power transfer (WPT) receiver system. Thus, this section provides a brief description of the implemented fully integrated rectenna (rectifying antenna) based solution used for experimental validation. Because of the fabrication related limitations, a very low overall coupling coefficient is expected due to the maximum allowable area of the on-chip antenna (OCA), resulting in limited output power. Therefore, the internal components of the WPT system were optimized for low-voltage and low-power operation. Such a WPT system is used as a power source for the DC-DC converter and, therefore, is connected to the converter input (the Energy Harvester block in Figure 1). A more detailed description of the implemented WPT system can be found in [31].

WPT System Description

A fully integrated WPT system can be divided into three main parts: the OCA, an impedance matching network and the rectifier circuit, as shown in Figure 7. The main design consideration of the latter two parts are the parameters of the OCA itself, as the antenna determines the usable frequency band as well as the available power of the system as a whole.
The implemented OCA has been optimized for the limited available chip area on the manufactured prototype. In order to compensate this major limitation, a layer-modified version of the recently proposed structure (described in [32]) was used. The designed OCA structure is shown in Figure 8, where the topology is based on a symmetrical multi-layer stacked inductor suitable for use in a standard CMOS technology. In comparison to more commonly utilized designs, based on simple spiral coils, this proprietary structure offers improved inductance as well as quality factor values, both of which are very important parameters for the overall WPT system performance. Such an inductor design achieves the best overall performance at frequencies around 200 MHz ± 10%, with the previously measured example exhibiting the maximum quality factor in this region.
The impedance matching network and the main rectifier circuit of the proposed WPT system were designed to best utilize the above-described inductor design, taking into account the expected values of quality factor and inductance of the OCA. The impedance matching network was designed for the maximum power transfer, as the expected harvested values are very low due to the chip area restrictions. By utilizing a capacitor-only structure, we avoided possible interactions between multiple on-chip coils. The implemented structure consists of a tunable parallel capacitor used to form a resonant circuit with the EH coil and, thus, raise the harvested voltage and a series capacitance formed by the rectifier structure itself [33]. The core of the rectifier is formed by a differential drive cross-coupled (DDCC) CMOS bridge topology, which was previously found to be suitable for very low values of the input voltage and power [34,35,36]. The utilized circuit consists of three rectifier stages capacitively coupled to the EH coil. Each stage utilizes threshold voltage compensation for its internal switching transistors (based on the body-biasing technique) in order to improve the performance with the expected low RF input voltage amplitudes. The use of multiple stages was necessary to increase the total output DC voltage to a satisfactory value at the cost of somewhat decreased overall efficiency.
For the purpose of experimental verification, an external transmitter circuit consisting of a PCB coil and a lumped impedance matching network connected to a RF signal generator was designed. Two transmitter coil sizes were implemented based on sizing restrictions from [37,38]. These preliminary measurements were performed on bare die samples of the developed prototype chip, as presented in [39]. The measurements with the transmitter input power between 10 dBm and 20 dBm were performed with the maximum reported DC output power of around 60 µW and the maximum DC output voltage of more than 0.5 V under various load conditions. These results prove the overall functionality of the implemented WPT system, as well as its suitability for use in conjunction with the developed DC-DC converter described in the previous section.

4. Measurement and Achieved Results

In this section, the results obtained by the measurement of the prototyped chips are presented and discussed, where three branched configuration has been chosen for implementation as described in Section 2.1 in more detail. The selected configuration is capable to exploit the common power availability in 10–100 µW range of different energy harvester types used for IoT applications without significant form-factor violation, where the thermoelectric, bio-fuel cell or RF-based harvesters could be good candidates for utilization [1]. In order to better show the features of the proposed self-powered CP system, the measurements were performed without and with a RF energy harvester. For this purpose, the printed circuit test board was designed and fabricated. The measurement setup consists of the device under test (DUT) with all its physical peripheries and additional circuitry as well as the instrumental equipment where the input capacitor and the output capacitor placed directly on the test board possess a value of 35 µF and 69 µF, respectively. The flying capacitors belonging to the CP have the capacitance value of 330 nF and passive components corresponding to a voltage divider of type 2 consisting of 2 × 600 k Ω resistors in series with a 8.5 pF capacitor at center node D I V E X T .

4.1. Self-Powered CP System

In Figure 9a, one can observe the dependence of the efficiency ( η ) and the output voltage ( V C P O U T , a v g ) of self-powered CP system on the output load current ( I L O A D , a v g ) for different external clock frequencies ( x C L K E X T ) and the input voltage value V O U T D C of 180 mV (the minimum value needed to start-up the self-powered CP system). It can be observed that the maximum efficiency can be obtained for a low clock frequency and for the maximum current at the CP output. For these conditions, the efficiency of about 42 % can be achieved. However, the output voltage deviates by about 3.75 % from the required value (400 mV). For higher clock frequencies, the CP system has a higher power consumption; therefore, the overall efficiency is degraded. The optimum clock frequency is about 50 kHz, where the efficiency and the output load current of 43% and 23 µA were achieved, respectively. As can be observed from Figure 9a, if the input voltage is increased to the value of 300 mV, the output current over 100 µA can be reached. In such a case, the efficiency of the self-powered CP system is less dependent on the clock frequency. It is also important to mention that the deviation of the output voltage of the CP system is mainly caused by the on-chip voltage divider, because its voltage ratio depends on the clock frequency as already discussed in Section 2.3.
The stand-by power consumption and non-stand-by power consumption of the CP system are shown in Figure 10, where the total power consumption was divided into the contribution of individual sub-blocks of the overall CP system. Naturally, the biggest part of power consumption in the non-standby mode is given by CP Core and Driver cores that directly drive the bulky flying capacitors across charge transfer switches and boosted inverter, respectively. Other sub-blocks consume less than μ W of total power consumption. In the standby mode, all parts have a power consumption in the order of hundreds nW, while the biggest part of contributors to standby power is given by the comparator used in the feedback control loop across its permanent current-bias and leakage current through bulk diodes (a natural drawback of bulk-driven design technique).
In order to investigate the possible influence on the CP system efficiency as well as for comparison of features and properties of the developed system, different types of voltage dividers were used in the measurements: (a) on-chip capacitor-based voltage divider and (b) off-chip resistive voltage divider, marked as type 1 and type 2 (Figure 1), respectively. As can be observed from Figure 11a, the off-chip resistive voltage divider (marked as type 2) can help in stabilizing the undesired output voltage deviation caused by different clock frequency. One can also notice that the output voltage ripple is relatively constant over the clock frequency. The efficiency of the self-powered CP system is not influenced by the type of the voltage divider used. However, the maximum load current and efficiency reached slightly higher values in the case of using the capacitor-based voltage divider (marked as type 1 in Figure 11a.
Dependence of the output voltage on the input voltage is depicted in Figure 11b, where one can observe that the output voltage is independent of the clock frequency in the case of the resistive voltage divider. Additionally, the load regulation (LNR) in the worst case is 68 ppm/mV. Therefore, in order to obtain better output voltage regulation, it would be proper to use the resistive voltage divider. From the efficiency and the output load current point of view, the performed analysis show better performance in the case of using the capacitor-based voltage divider. However, such a divider should be carefully optimized for the selected clock frequency and parasitics effect in order to obtain desired output voltage regulation.

4.2. Self-Powered Cp System with the Rf Harvester

The results presented in the previous section were obtained with an independent voltage source used at the CP input node O U T D C (see Figure 1). In this section, we present the measurement results of RF-EH system as the input voltage source for the self-powered CP system is generated directly from WPT unit. The developed RF-EH was described in Section 3. The overall system was measured for different input power P I N of the external antenna and two distances between the external antenna and the implemented OCA (a part of the RF-EH).
Figure 12 shows the dependence of the CP output voltage (and the output voltage from the on-chip RF rectifier) on the load current at the output of CP system. The presented measurement results are obtained for the distance of 3 mm and 6 mm between the external antenna and the on-chip one for different values of the input power. The average output voltage from the rectifier is shown on the left y-axis ( V O U T D C , a v g ), while the regulated output voltage from the self-powered CP system is introduced on the right y-axis ( V C P O U T , a v g ) of the graph shown in Figure 12. If the distance between antennas is increased twice, the input power has to be increased by 5 dBm in order to obtain the same voltage level at the RF rectifier output. The minimum input power needed for a reliable start-up of the self-powered CP system with the minimum load current (4 µA) is 12 dBm and 16 dBm for 3 mm and 6 mm distance between the external and on-chip antennas is required, respectively. The regulated output voltage from the self-powered CP system was set to 0.4 V, and we can observe from Figure 12 that the system can reliably regulate the output voltage to the required value with the accuracy of ± 1.25 % . Naturally, with higher input power, one can achieve a higher value of the output load current. Regarding the CP system itself, the efficiency was not influenced. On the other hand, the efficiency of the overall CP system including the RF energy harvester will be significantly less than 1%. Such a low efficiency is primarily associated with the quality factor of the on-chip inductor and with the effective chip area in addition to degrading effects (described in [31]).

5. Discussion and Conclusions

From the performed analysis, it has been shown that the proposed concept of RF-EH application using the CP-based DC-DC as a PMU can achieve promising performance even though it is designed with standard pure CMOS technology. In order to highlight the important features of the proposed wireless power transfer concept, the developed CP-based DC-DC converter was compared to other state-of-the-art works (Table 1), where the results obtained from measurement under typical conditions are presented. From Table 1, one can observe that the developed DC-DC converter is able to work with the similar input voltage values as reported in other works, except for designs presented in [40,41,42,43,44]. On the other hand, in our work, the converter was designed for ultra-low voltage ICs; therefore, the output voltage was much lower than 1 V. The maximum peak current of 38 µA was achieved for the input voltage of 0.2 V. If the input voltage increased, the output current of more than 100 µA can be reached. The power conversion efficiency of the proposed converter is better than the one reported in the state-of-the art works, except for [40,41,45]. However, the converters presented in [40,41] are designed for higher values of the output voltage. Finally, it is important to underline that the proposed topology achieves the best efficiency compared to the works without any MPPT algorithm [24,44,46,47,48]. Therefore, one can assume that the overall efficiency of the designed converter might be improved by using a proper MPPT algorithm. Additionally, many converters are unregulated and the power consumption of auxiliary circuits forming the feedback loop are not considered in these cases. Moreover, in the proposed design, the power throughput is extended to cover a broader range of applications. On the other hand, our solution needs bulky external components and it is not currently optimized for full integration. The presented results, proved by measurement of prototype chips, demonstrate the suitability of the proposed DC-DC converter for applications in low-power and low-voltage integrated systems. Additionally, it was shown that the developed converter can be conveniently used in the on-chip RF-based energy harvester as a wireless power transfer system. This application concept was verified by measurements, and we do believe that its implementation in a standard pure CMOS technology renders the performed research even more valuable. Finally, it is important to note that various energy harvesters can be used as the input source for the proposed charge pump system. Although in our case the RF harvester was used, there is no limitation for using a different input energy source with an appropriate voltage range. The only strict requirement is that the minimum input voltage must be about 200 mV to ensure the proper functionality of all blocks in the proposed CP system. Since the proposed CP system was designed using bulk-driven technique, the maximum input voltage is limited to the value of 300 mV. On the other hand, we have to underline that the proposed CP system was designed for low-power and low-voltage applications. Therefore, using the energy harvesters generating relatively higher voltages at the output is rather limited or requires additional circuitry in order to reduce the output voltage to the appropriate level.

Author Contributions

Conceptualization, V.S.; data curation, L.N.; investigation, M.P. and M.K.; methodology, D.A.; resources, M.S.; validation, R.R. All authors have read and agreed to the published version of the manuscript.

Funding

This work has been supported in part by the Slovak Research and Development Agency under grant APVV-19-0392, the Ministry of Education, Science, Research and Sport of the Slovak Republic under grants VEGA 1/0731/20 and VEGA 1/0760/21, and the Operational Program Integrated Infrastructure under project “Strategic research in the field of SMART monitoring, treatment and preventive protection against coronavirus (SARS-CoV-2)” (Proj. No. 313011ASS8) cofinanced by the European Regional Development Fund. This work has also received funding from the Electronic Components and Systems for European Leadership Joint Undertaking under grant agreement No 876868. This Joint Undertaking receives support from the European Union’s Horizon 2020 research and innovation programme and Germany, Slovakia, Netherlands, Spain, Italy.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Mishu, M.K.; Rokonuzzaman, M.; Pasupuleti, J.; Shakeri, M.; Rahman, K.S.; Hamid, F.A.; Tiong, S.K.; Amin, N. Prospective Efficient Ambient Energy Harvesting Sources for IoT-Equipped Sensor Applications. Electronics 2020, 9, 1345. [Google Scholar] [CrossRef]
  2. Sil, I.; Mukherjee, S.; Biswas, K. Design Optimization of CMOS Thermoelectric Energy Harvester for High Thermoelectric Efficiency. In Proceedings of the 2nd International Conference on Electronics, Materials Engineering Nano-Technology (IEMENTech), Kolkata, India, 4–5 May 2018; pp. 1–5. [Google Scholar] [CrossRef]
  3. Peng, Y.; Choo, K.D.; Oh, S.; Lee, I.; Jang, T.; Kim, Y.; Lim, J.; Blaauw, D.; Sylvester, D. An efficient piezoelectric energy harvesting interface circuit using a sense-and-set rectifier. IEEE J. Solid-State Circuits 2019, 54, 3348–3361. [Google Scholar] [CrossRef]
  4. Siang, J.; Lim, M.; Salman Leong, M. Review of vibration-based energy harvesting technology: Mechanism and architectural approach. Int. J. Energy Res. 2018, 42, 1866–1893. [Google Scholar] [CrossRef]
  5. Yuan, M.; Cao, Z.; Luo, J.; Chou, X. Recent Developments of Acoustic Energy Harvesting: A Review. Micromachines 2019, 10, 48. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  6. Wu, C.; Wang, A.C.; Ding, W.; Guo, H.; Wang, Z.L. Triboelectric Nanogenerator: A Foundation of the Energy for the New Era. Adv. Energy Mater. 2019, 9, 1802906. [Google Scholar] [CrossRef]
  7. Lanbin, Z.; Dai, H.; Yang, Y.; Wang, L. Design of high-efficiency electromagnetic energy harvester based on a rolling magnet. Energy Convers. Manag. 2019, 185, 202–210. [Google Scholar]
  8. Sun, L.; Ma, D.; Tang, H. A review of recent trends in wireless power transfer technology and its applications in electric vehicle wireless charging. Renew. Sustain. Energy Rev. 2018, 91, 490–503. [Google Scholar] [CrossRef]
  9. Bandyopadhyay, S.; Chandrakasan, A.P. Platform Architecture for Solar, Thermal, and Vibration Energy Combining With MPPT and Single Inductor. IEEE J. Solid-State Circuits 2012, 47, 2199–2215. [Google Scholar] [CrossRef]
  10. Pakkirisami Churchill, K.K.; Chong, G.; Ramiah, H.; Ahmad, M.Y.; Rajendran, J. Low-Voltage Capacitive-Based Step-Up DC-DC Converters for RF Energy Harvesting System: A Review. IEEE Access 2020, 8, 186393–186407. [Google Scholar] [CrossRef]
  11. Taghadosi, M.; Albasha, L.; Quadir, N.A.; Rahama, Y.A.; Qaddoumi, N. High Efficiency Energy Harvesters in 65 nm CMOS Process for Autonomous IoT Sensor Applications. IEEE Access 2018, 6, 2397–2409. [Google Scholar] [CrossRef]
  12. Wang, Y.; Yan, N.; Min, H.; Shi, C.J.R. A High-Efficiency Split–Merge Charge Pump for Solar Energy Harvesting. IEEE Trans. Circuits Syst. Express Briefs 2017, 64, 545–549. [Google Scholar] [CrossRef]
  13. Colella, R.; Tarricone, L.; Catarinucci, L. SPARTACUS: Self-Powered Augmented RFID Tag for Autonomous Computing and Ubiquitous Sensing. IEEE Trans. Antennas Propag. 2015, 63, 2272–2281. [Google Scholar] [CrossRef]
  14. Al-Shebanee, D.; Wunderlich, R.; Heinen, S. Design of highly sensitive CMOS RF energy harvester using ultra-low power charge pump. In Proceedings of the 2015 IEEE Wireless Power Transfer Conference (WPTC), Boulder, CO, USA, 13–15 May 2015; pp. 1–4. [Google Scholar] [CrossRef]
  15. Vega, J.; Lezama, J. Design and Implementation of a Thermoelectric Energy Harvester with MPPT Algorithms and Supercapacitor. IEEE Lat. Am. Trans. 2021, 19, 163–170. [Google Scholar] [CrossRef]
  16. Bhapkar, D.; Maity, A. Power Extraction From an Ultra-Low Input Voltage Source in a Battery-less Thermoelectric Harvester. In Proceedings of the 2020 3rd International Conference on Energy, Power and Environment: Towards Clean Energy Technologies, Shillong, India, 5–7 March 2021; pp. 1–6. [Google Scholar] [CrossRef]
  17. Kováč, M.; Arbet, D.; Stopjaková, V.; Šovčĺk, M.; Nagy, L. Investigation of Low-Voltage, Sub-threshold Charge Pump with Parasitics Aware Design Methodology. In Proceedings of the 2019 IEEE 22nd International Symposium on Design and Diagnostics of Electronic Circuits Systems (DDECS), Cluj-Napoca, Romania, 24–26 April 2019; pp. 1–4. [Google Scholar] [CrossRef]
  18. Coustans, M.; Krummenacher, F.; Kayal, M. A Fully Integrated 60 mV Cold-Start Circuit for Single Coil DC–DC Boost Converter for Thermoelectric Energy Harvesting. IEEE Trans. Circuits Syst. Express Briefs 2019, 66, 1668–1672. [Google Scholar] [CrossRef]
  19. Ki, W.H.; Lu, Y.; Su, F.; Tsui, C.Y. Analysis and Design Strategy of On-Chip Charge Pumps for Micro-power Energy Harvesting Applications; VLSI-SoC: Advanced Research for Systems on Chip; Mir, S., Tsui, C.Y., Reis, R., Choy, O.C.S., Eds.; Springer: Berlin/Heidelberg, Germany, 2012; pp. 158–186. [Google Scholar]
  20. Ballo, A.; Grasso, A.D.; Palumbo, G. A Review of Charge Pump Topologies for the Power Management of IoT Nodes. Electronics 2019, 8, 480. [Google Scholar] [CrossRef] [Green Version]
  21. Ballo, A.; Grasso, A.D.; Palumbo, G. A High-Performance Charge Pump Topology for Very-Low-Voltage Applications. IEEE Trans. Circuits Syst. Express Briefs 2020, 67, 1304–1308. [Google Scholar] [CrossRef]
  22. Stopjaková, V.; Rakus, M.; Kovác, M.; Arbet, D.; Nagy, L.; Sovcik, M.; Potocný, M. Ultra-Low Voltage Analog IC Design: Challenges, Methods and Examples. Radioengineering 2018, 27, 171–185. [Google Scholar] [CrossRef]
  23. Ker, M.D.; Chen, S.L.; Tsai, C.S. Design of charge pump circuit with consideration of gate-oxide reliability in low-voltage CMOS processes. IEEE J. Solid-State Circuits 2006, 41, 1100–1107. [Google Scholar] [CrossRef] [Green Version]
  24. Ballo, A.; Grasso, A.D.; Palumbo, G. A Subthreshold Cross-Coupled Hybrid Charge Pump for 50-mV Cold-Start. IEEE Access 2020, 8, 188959–188969. [Google Scholar] [CrossRef]
  25. Ballo, A.; Grasso, A.D.; Giustolisi, G.; Palumbo, G. Optimized Charge Pump With Clock Booster for Reduced Rise Time or Silicon Area. IEEE Trans. Circuits Syst. Express Briefs 2019, 66, 1977–1981. [Google Scholar] [CrossRef]
  26. Šovčík, M.; Kováč, M.; Arbet, D.; Stopjaková, V.; Potočný, M. Ultra-low-voltage boosted driver for self-powered systems. Microelectron. Reliab. 2018, 80, 155–163. [Google Scholar] [CrossRef]
  27. Šovčík, M.; Kováč, M.; Arbet, D.; Stopjaková, V. Ultra-low-voltage driver for large load capacitance in 130nm CMOS technology. In Proceedings of the 2017 IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits Systems (DDECS), Dresden, Germany, 19–21 April 2017; pp. 127–132. [Google Scholar] [CrossRef]
  28. Moon, U.; Temes, G.; Bidari, E.; Keskin, M.; Wu, L.; Steensgaard, J.; Maloberti, F. Switched-capacitor circuit techniques in submicron low-voltage CMOS. In Proceedings of the ICVC ’99. 6th International Conference on VLSI and CAD (Cat. No.99EX361), Seoul, Korea, 26–27 October 1999; pp. 349–358. [Google Scholar] [CrossRef]
  29. Nagy, L.; Arbet, D.; Kovac, M.; Potocny, M.; Stopjakova, V. Ultra Low-Voltage Rail-to-Rail Comparator Design in 130 nm CMOS Technology. In Proceedings of the 2019 IEEE 22nd International Symposium on Design and Diagnostics of Electronic Circuits Systems (DDECS), Cluj-Napoca, Romania, 24–26 April 2019; pp. 1–6. [Google Scholar] [CrossRef]
  30. Nagy, L.; Arbet, D.; Kovac, M.; Potocny, M.; Sovcik, M.; Stopjakova, V. Performance Analysis Of Ultra Low-Voltage Rail-to-Rail Comparator In 130 nm CMOS Technology. In Proceedings of the 2019 IEEE AFRICON, Accra, Ghana, 25–27 September 2019; pp. 1–5. [Google Scholar] [CrossRef]
  31. Stopjaková, V.; Kováč, M.; Potočný, M. On-chip Energy Harvesting for Implantable Medical Devices. Radioengineering 2020, 29, 269–284. [Google Scholar] [CrossRef]
  32. Kováč, M.; Stopjaková, V.; Arbet, D.; Nagy, L.; Brenkuš, J. Investigation of on-chip coil in 130 nm standard CMOS for WPT and bio-applications. In Proceedings of the 2016 International Conference on Emerging eLearning Technologies and Applications (ICETA), Vysoké Tatry, Slovakia, 24–25 November 2016; pp. 177–182. [Google Scholar] [CrossRef]
  33. Potočný, M.; Kováč, M.; Arbet, D.; Stopjaková, V. A 200 MHz RF wireless power transfer receiver for implantable medical devices fully integrated in 130 nm CMOS. In Proceedings of the 2018 16th Biennial Baltic Electronics Conference (BEC), Tallinn, Estonia, 8–10 October 2018; pp. 1–5. [Google Scholar] [CrossRef]
  34. Kotani, K.; Ito, T. High efficiency CMOS rectifier circuit with self-Vth-cancellation and power regulation functions for UHF RFIDs. In Proceedings of the 2007 IEEE Asian Solid-State Circuits Conference, Jeju, Korea, 12–14 November 2007; pp. 119–122. [Google Scholar] [CrossRef]
  35. Dai, H.; Lu, Y.; Law, M.K.; Sin, S.W.; Seng-Pan, U.; Martins, R.P. A review and design of the on-chip rectifiers for RF energy harvesting. In Proceedings of the 2015 IEEE International Wireless Symposium (IWS 2015), Shenzhen, China, 30 March–1 April 2015; pp. 1–4. [Google Scholar] [CrossRef]
  36. Yeo, K.H.; Ali, S.H.M.; Menon, P.S.; Islam, M.S.; Yeo, K.H. Comparison of CMOS rectifiers for micropower energy harvesters. In Proceedings of the 2015 IEEE Conference on Energy Conversion (CENCON), Johor Bahru, Malaysia, 19–20 October 2015; pp. 419–423. [Google Scholar] [CrossRef]
  37. Zargham, M.; Gulak, P.G. Maximum Achievable Efficiency in Near-Field Coupled Power-Transfer Systems. IEEE Trans. Biomed. Circuits Syst. 2012, 6, 228–245. [Google Scholar] [CrossRef] [PubMed]
  38. Finkenzeller, K.; Müller, D. RFID Handbook: Fundamentals and Applications in Contactless Smart Cards, Radio Frequency Identification and Near-Field Communication; Wiley: Hoboken, NJ, USA, 2010. [Google Scholar]
  39. Potočný, M.; Stopjaková, V.; Kováč, M. Measurement of a Wireless Power Transfer System with a Fully Integrated Receiver. In Proceedings of the 2019 17th International Conference on Emerging eLearning Technologies and Applications (ICETA), Starý Smokovec, Slovakia, 21–22 November 2019; pp. 655–660. [Google Scholar] [CrossRef]
  40. Devaraj, A.; Megahed, M.; Liu, Y.; Ramachandran, A.; Anand, T. A Switched Capacitor Multiple Input Single Output Energy Harvester (Solar + Piezo) Achieving 74.6% Efficiency With Simultaneous MPPT. IEEE Trans. Circuits Syst. Regul. Pap. 2019, 66, 4876–4887. [Google Scholar] [CrossRef]
  41. Liu, X.; Ravichandran, K.; Sánchez-Sinencio, E. A Switched Capacitor Energy Harvester Based on a Single-Cycle Criterion for MPPT to Eliminate Storage Capacitor. IEEE Trans. Circuits Syst. Regul. Pap. 2018, 65, 793–803. [Google Scholar] [CrossRef]
  42. Yoon, S.; Carreon-Bautista, S.; Sánchez-Sinencio, E. An Area Efficient Thermal Energy Harvester With Reconfigurable Capacitor Charge Pump for IoT Applications. IEEE Trans. Circuits Syst. Express Briefs 2018, 65, 1974–1978. [Google Scholar] [CrossRef] [Green Version]
  43. Liu, X.; Huang, L.; Ravichandran, K.; Sánchez-Sinencio, E. A Highly Efficient Reconfigurable Charge Pump Energy Harvester With Wide Harvesting Range and Two-Dimensional MPPT for Internet of Things. IEEE J. Solid-State Circuits 2016, 51, 1302–1312. [Google Scholar] [CrossRef]
  44. Uluşan, H.; Zorlu, O.; Külah, H.; Muhtaroğlu, A. Stage optimization in regulated step-up for low voltage electromagnetic energy harvesters. In Proceedings of the 5th International Conference on Energy Aware Computing Systems Applications, Cairo, Egypt, 24–26 March 2015; pp. 1–4. [Google Scholar] [CrossRef]
  45. Talkhooncheh, A.H.; Yu, Y.; Agarwal, A.; Kuo, W.; Chen, K.C.X.; Wang, M.; Hoskuldsdottir, G.; Gao, W.; Emami, A. A Fully-Integrated Biofuel-Cell-Based Energy Harvester with 86% Peak Efficiency and 0.25V Minimum Input Voltage Using Source-Adaptive MPPT. In Proceedings of the 2020 IEEE Custom Integrated Circuits Conference (CICC), Boston, MA, USA, 22–25 March 2020; pp. 1–4. [Google Scholar] [CrossRef]
  46. Yi, H.; Yin, J.; Mak, P.I.; Martins, R.P. A 0.032-mm2 0.15-V Three-Stage Charge-Pump Scheme Using a Differential Bootstrapped Ring-VCO for Energy-Harvesting Applications. IEEE Trans. Circuits Syst. Express Briefs 2018, 65, 146–150. [Google Scholar] [CrossRef]
  47. Whittaker, K.; Rizkalla, M.; Ytterdal, T. A Low Power FinFET Charge Pump for Energy Harvesting Applications. In Proceedings of the 2020 IEEE 63rd International Midwest Symposium on Circuits and Systems (MWSCAS), Springfield, MA, USA, 9–12 August 2020; pp. 1048–1051. [Google Scholar] [CrossRef]
  48. Chen, P.H.; Ishida, K.; Zhang, X.; Okuma, Y.; Ryu, Y.; Takamiya, M.; Sakurai, T. A 120-mV input, fully integrated dual-mode charge pump in 65-nm CMOS for thermoelectric energy harvester. In Proceedings of the 17th Asia and South Pacific Design Automation Conference, Sydney, Australia, 30 Janurary–2 February 2012; pp. 469–470. [Google Scholar] [CrossRef]
Figure 1. Top level of the proposed CP-based DC-DC converter.
Figure 1. Top level of the proposed CP-based DC-DC converter.
Sensors 21 05721 g001
Figure 2. Multi-stage CP Core block (detail view of the DT-CCCP cell).
Figure 2. Multi-stage CP Core block (detail view of the DT-CCCP cell).
Sensors 21 05721 g002
Figure 3. The driver scheme using bulk-driven cross-connection cores.
Figure 3. The driver scheme using bulk-driven cross-connection cores.
Sensors 21 05721 g003
Figure 4. Driver operation principle. (a) D R I V E R I N = L o g 0 . (b) D R I V E R I N = L o g 1 .
Figure 4. Driver operation principle. (a) D R I V E R I N = L o g 0 . (b) D R I V E R I N = L o g 1 .
Sensors 21 05721 g004
Figure 5. Switched-capacitor voltage divider 1 to 0.5 . (a) Circuit implementation. (b) PEX simulation of conversion gain function under V C P O U T = 400 m V and typical 23 ∘C conditions. Clocks and digital blocks are supplied by the same voltage.
Figure 5. Switched-capacitor voltage divider 1 to 0.5 . (a) Circuit implementation. (b) PEX simulation of conversion gain function under V C P O U T = 400 m V and typical 23 ∘C conditions. Clocks and digital blocks are supplied by the same voltage.
Sensors 21 05721 g005
Figure 6. Implemented bulk-driven rail-to-rail comparator (redrawn from [30]). (a) Transistor level diagram. (b) Current consumption for various reference voltages.
Figure 6. Implemented bulk-driven rail-to-rail comparator (redrawn from [30]). (a) Transistor level diagram. (b) Current consumption for various reference voltages.
Sensors 21 05721 g006
Figure 7. Microphotograph of the fabricated WPT chip. The V D C represents the V O U T D C from Figure 1, i.e., the input voltage source for the CP.
Figure 7. Microphotograph of the fabricated WPT chip. The V D C represents the V O U T D C from Figure 1, i.e., the input voltage source for the CP.
Sensors 21 05721 g007
Figure 8. Designed OCA of the implemented EH (turn details: width = 60 µm, space = 12.5 µm; realized using top 6 layers. (a) Two-dimensional structure. (b) Three-dimensional structure.
Figure 8. Designed OCA of the implemented EH (turn details: width = 60 µm, space = 12.5 µm; realized using top 6 layers. (a) Two-dimensional structure. (b) Three-dimensional structure.
Sensors 21 05721 g008
Figure 9. η and V C P O U T vs. I L O A D for different clock frequencies (“avg” in graphs stands for average value). (a) For the V D C I N of 180 mV. (b) For the V D C I N of 300 mV.
Figure 9. η and V C P O U T vs. I L O A D for different clock frequencies (“avg” in graphs stands for average value). (a) For the V D C I N of 180 mV. (b) For the V D C I N of 300 mV.
Sensors 21 05721 g009
Figure 10. Distribution of power consumption across individual subsystems ( V D C I N = 200 m V , R L O A D = 476 k Ω and x C L K E X T = 50 k H z ). (a) Standby mode. (b) Non-standby mode (switching mode).
Figure 10. Distribution of power consumption across individual subsystems ( V D C I N = 200 m V , R L O A D = 476 k Ω and x C L K E X T = 50 k H z ). (a) Standby mode. (b) Non-standby mode (switching mode).
Sensors 21 05721 g010
Figure 11. Comparison of different voltage dividers (“avg” in graphs stands for average value). (a) The η and V C P O U T vs. I L O A D for V D C I N of 200 mV. (b) The V C P O U T vs. V O U T D C for the I L O A D of 10 µA.
Figure 11. Comparison of different voltage dividers (“avg” in graphs stands for average value). (a) The η and V C P O U T vs. I L O A D for V D C I N of 200 mV. (b) The V C P O U T vs. V O U T D C for the I L O A D of 10 µA.
Sensors 21 05721 g011
Figure 12. Individual output voltages V O U T D C and V C P O U T vs. I L O A D for different input powers (“avg” in graphs stands for average value). The C L K E X T is set to 50 kHz, and the voltage divider of type 2 has been used.
Figure 12. Individual output voltages V O U T D C and V C P O U T vs. I L O A D for different input powers (“avg” in graphs stands for average value). The C L K E X T is set to 50 kHz, and the voltage divider of type 2 has been used.
Sensors 21 05721 g012
Table 1. Comparison of achieved results relative to other state-of-the-art works.
Table 1. Comparison of achieved results relative to other state-of-the-art works.
This Work[46][47][40][41][42][43][48][44][45][24]
Year 20212018202020192017201720162012201520202020
Process node(nm)13065765180130180651806528
Area( 10 3 μ m 2 )54332-470552835100078348140011.6
VIN(V)0.18–0.30.1–0.30.15>0.550.5–1.80.25–10.45–30.12–0.160.5–10.25–10.04–0.1
VOUT(V)0.4–0.61.2 (1)0.631.8–2.51.813.30.77–1.321.80.9–1.5-
VOUT ripple(mV)27.90.1–420187680-----
Peak IOUT(μA)38 (1)5 (1)13519.550015<12<7--
POUT(μW)15.2 (1)6 (1)0.6835–70<35.1<500<50<1010.8 (2)1–1002 (4)
Peak η (%)43 (3)45 (3)31.76 (3)70.860–7243 (3)8140 (3)52 (3)>80 (5)38.9 (3)
FSW(MHz)0.05 (extern)15.2410.1<4.25-<20-0.1–21
TopologyBD cross-coupledCross-coupled-Series-parallel-Dickson---Boost+Buck SCPCHybrid
Number of stagesMulti-branch (3 per branch)332226-103-2
MPPT/RegulationN/YN/NN/YY/Y2D/Y3D/Y2D/YN/NN/Y2D/YN/N
F O M S T A R T U P (6)0.0952 (7)0.85120.2372--0.01720.1248 (8)---0.0648 (9)
(1) @ V I N = 0.2 V; (2) @ V I N = 0.7 V; (3) @ P O U T listed; (4) @ V I N = 0.1 V; (5) @ V I N =0.3 V, P O U T = 5.5 µW; (6) F O M S T A R T U P = C L O A D C F L Y T S W t S T A R T U P Δ V O U T V I N M , where M represent voltage conversion ratio; (7) @ R L O A D = 476 k Ω , V I N = 200 mV, Δ V O U T = 230 mV, (170 mV → 400 mV), where 170 mV is minimum start-up voltage restricted by comparator supply voltage requirement; (8) @ V I N = 2.1 V; (9) Only open circuit time response is considered.
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Potocny, M.; Kovac, M.; Arbet, D.; Sovcik, M.; Nagy, L.; Stopjakova, V.; Ravasz, R. Low-Voltage DC-DC Converter for IoT and On-Chip Energy Harvester Applications. Sensors 2021, 21, 5721. https://doi.org/10.3390/s21175721

AMA Style

Potocny M, Kovac M, Arbet D, Sovcik M, Nagy L, Stopjakova V, Ravasz R. Low-Voltage DC-DC Converter for IoT and On-Chip Energy Harvester Applications. Sensors. 2021; 21(17):5721. https://doi.org/10.3390/s21175721

Chicago/Turabian Style

Potocny, Miroslav, Martin Kovac, Daniel Arbet, Michal Sovcik, Lukas Nagy, Viera Stopjakova, and Richard Ravasz. 2021. "Low-Voltage DC-DC Converter for IoT and On-Chip Energy Harvester Applications" Sensors 21, no. 17: 5721. https://doi.org/10.3390/s21175721

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop