Review of State-of-the-Art FPGA Applications in IoT Networks
Abstract
:1. Introduction
- Increasing Throughput: The Ethernet road map suggests that future networking switch interfaces will realize speeds of 800 Gigabits per second (Gbps) to 1.6 Terabits per second (Tbps) after 2022 [1]. For these rates to be obtained, high processing speeds will be required of packet classification and parsing algorithms.
- Decreasing Power Consumption: Dennard Scaling, which states that the power density of transistors stays roughly the same as transistors get smaller (especially in accordance with Moore’s Law), has come to an end with field effect transistor (FET) technologies. It is now accepted that the power density rapidly increases within a chip as manufacturing processes continue to decrease in size [2]. The end of Dennard Scaling leads to requirements for power gating, often referred to as dark silicon, to prevent catastrophic failure in multicore systems [3].
- Decreasing Form Factor: Similarly to the end of Dennard Scaling, Moore’s Law, the acceptance that transistor size will decrease by half roughly every 18 months, is slowing down [4]. Lowering the area required for an integrated circuit can reduce the cost of production, reduce power consumption, and increase potential for implementation in devices that demand a small form factor [4].
- Reducing Latency and Jitter: Latency refers to the delays in packet processing, and jitter refers to the variation in latency over some sample of time. Predictable latency and reduced jitter allow for more efficient networking algorithms, thereby increasing performance [5]. Latency and jitter are often a severe bottleneck in mobile and wireless applications.
- Recovery of Dropped Packets: IoT networks face the unique challenge that typical networks supported by TCP/IP do not: edge nodes that go in and out of range and nodes that go into sleep mode. This unpredictable behavior can lead to dropped packets and unrecoverable data [6,7,8]. Further, dropped packets can lead to violations of sequential dependencies, significantly increasing latency or introducing hanging conditions [9].
2. Background
2.1. Historical IoT Network Technologies
2.2. Field Programmable Gate Arrays
3. High Performance Networks
3.1. Radiation Tolerant Networking
3.2. Minimizing Latency
3.3. Maximizing Throughput
- 1.
- The network stack must be generic enough to support a variety of applications.
- 2.
- The infrastructure must be abstracted away from the developer for ease of use and must be usable via HLS.
- 3.
- The Vitis integration should not decrease network performance.
- 4.
- The EasyNet communication primitives should have a low hardware footprint whilst maintaining a high network throughput.
- 5.
- The primitives should be callable as a function in an HLS library.
- 1.
- The TCP/IP interface that is to be designed must achieve modern line rates of 100 Gbps.
- 2.
- The design must be portable, and therefore configurable, between a variety of network setups.
- 3.
- The design must be open source to provide availability to multiple projects.
3.4. Future Directions
4. Mid-Range IoT Solutions
4.1. Data Management
4.2. Network Security
4.3. Data Transmission
4.4. Future Directions
5. FPGA Optimization Techniques
5.1. Latency Reduction
5.2. Throughput Improvements
5.3. Partial Reconfiguration
6. Conclusions
Author Contributions
Funding
Institutional Review Board Statement
Informed Consent Statement
Data Availability Statement
Conflicts of Interest
Abbreviations
FPGA | Field-Programmable Gate Array |
Gbps | Gigabit per second |
PHY | Physical Layer |
Tbps | Terabits per second |
OSI | Open Systems Interconnection |
PDU | Protocol Data Units |
HLS | High Level Synthesis |
HDL | Hardware Description Language |
CAT5 | Category 5 |
CAT6 | Catagory 6 |
LFN | Long Fat Pipe Network |
AXI | Advanced Extensible Interface |
ARP | Address Resolution Protocol |
MAC | Media Access Control |
RX | Receive |
TX | Transmit |
SAR | Segmentation and Reassembly |
IDE | Integrated Development Environment |
RTL | Register Transfer Level |
TCP | Transmission Control Protocol |
IP | Internet Protocol |
FIFO | First In, First Out |
NIC | Network Interface Card |
IPv6 | Internet Protocol Version 6 |
IPv4 | Internet Protocol Version 4 |
CoAP | Constrained Application Protocol |
MQTT | Message Queue Telemetry Transport |
6LoWPAN | IPv6 Over Low Power Wireless Personal Area Networks |
References
- Gui, T.; Wang, X.; Tang, M.; Yu, Y.; Lu, Y.; Li, L. Real-Time Demonstration of Homodyne Coherent Bidirectional Transmission for Next-Generation Data Center Interconnects. J. Light. Technol. 2021, 39, 1231–1238. [Google Scholar] [CrossRef]
- Khdr, H.; Pagani, S.; Shafique, M.; Henkel, J. Chapter Four—Dark Silicon Aware Resource Management for Many-Core Systems. In Dark Silicon and Future On-Chip Systems; Advances in Computers; Hurson, A.R., Sarbazi-Azad, H., Eds.; Elsevier: Amsterdam, The Netherlands, 2018; Volume 110, pp. 127–170. [Google Scholar] [CrossRef]
- Esmaeilzadeh, H.; Blem, E.; St. Amant, R.; Sankaralingam, K.; Burger, D. Dark Silicon and the End of Multicore Scaling. IEEE Micro 2012, 32, 122–134. [Google Scholar] [CrossRef] [Green Version]
- Hennessy, J.L.; Patterson, D.A. A New Golden Age for Computer Architecture. Commun. ACM 2019, 62, 48–60. [Google Scholar] [CrossRef] [Green Version]
- Stylianopoulos, C.; Almgren, M.; Landsiedel, O.; Papatriantafilou, M.; Neish, T.; Gillander, L.; Johansson, B.; Bonnier, S. On the performance of commodity hardware for low latency and low jitter packet processing. In Proceedings of the 14th ACM International Conference on Distributed and Event-based Systems, Montreal, QC, Canada, 13–17 July 2020. [Google Scholar]
- Shang, W.; Yu, Y.; Droms, R.; Zhang, L. Challenges in IoT Networking via TCP/IP Architecture. Named Data Networking Techincal Reports 2016. Available online: https://named-data.net/publications/techreports/ndn-0038-1-challenges-iot/ (accessed on 20 August 2021).
- Parmar, J.K.; Desai, A. IoT: Networking technologies and research challenges. Int. J. Comput. Appl. 2016, 154, 1–6. [Google Scholar]
- Javed, F.; Afzal, M.K.; Sharif, M.; Kim, B.S. Internet of Things (IoT) operating systems support, networking technologies, applications, and challenges: A comparative review. IEEE Commun. Surv. Tutor. 2018, 20, 2062–2100. [Google Scholar] [CrossRef]
- Bhardwaj, S.; Larbig, P.; Khondoker, R.; Bayarou, K. Survey of domain specific languages to build packet parsers for industrial protocols. In Proceedings of the 2017 20th International Conference of Computer and Information Technology (ICCIT), Dhaka, Bangladesh, 22–24 December 2017; pp. 1–6. [Google Scholar] [CrossRef]
- Gurunath, R.; Agarwal, M.; Nandi, A.; Samanta, D. An overview: Security issue in IoT network. In Proceedings of the 2018 2nd International Conference on I-SMAC (IoT in Social, Mobile, Analytics and Cloud)(I-SMAC) I-SMAC (IoT in Social, Mobile, Analytics and Cloud)(I-SMAC), 2018 2nd International Conference on, Palladam, India, 30–31 August 2018; pp. 104–107. [Google Scholar]
- Wheelus, C.; Zhu, X. IoT network security: Threats, risks, and a data-driven defense framework. IoT 2020, 1, 259–285. [Google Scholar] [CrossRef]
- Hamza, A.; Gharakheili, H.H.; Sivaraman, V. IoT network security: Requirements, threats, and countermeasures. arXiv 2020, arXiv:2008.09339. [Google Scholar]
- Giordano, R.; Perrella, S.; Barbieri, D.; Izzo, V. A Radiation-Tolerant, Multigigabit Serial Link Based on FPGAs. IEEE Trans. Nucl. Sci. 2020, 67, 1852–1860. [Google Scholar] [CrossRef]
- Zhou, Z.; Wang, Y.; Ma, C.; Zhang, Y.; Xu, H.; Wan, Y.; Xiong, K.; Feng, C. Design of Self-Refresh bitstream based on FPGA dynamic local reconfiguration. In Proceedings of the 2021 4th International Conference on Advanced Electronic Materials, Computers and Software Engineering (AEMCSE), Changsha, China, 26–28 March 2021; pp. 950–954. [Google Scholar]
- Hessar, M.; Najafi, A.; Iyer, V.; Gollakota, S. TinySDR Low-Power SDR Platform for Over-the-Air Programmable IoT Testbeds. In Proceedings of the 17th USENIX Symposium on Networked Systems Design and Implementation (NSDI 20), Santa Clara, CA, USA, 25–27 February 2020; pp. 1031–1046. [Google Scholar]
- Fukuhara, A.; Shohata, S.; Nishi, H. FPGA context-based live migration maintaining network consistency. In Proceedings of the 2020 Eighth International Symposium on Computing and Networking Workshops (CANDARW), Naha, Japan, 24–27 November 2020; pp. 81–86. [Google Scholar]
- Huitema, C. IPv6: The New Internet Protocol; Prentice-Hall, Inc.: Hoboken, NJ, USA, 1995. [Google Scholar]
- Hagen, S. IPv6 Essentials; O’Reilly Media, Inc.: Sevastopol, CA, USA, 2006. [Google Scholar]
- Liu, X.; Zhang, T.; Hu, N.; Zhang, P.; Zhang, Y. The method of Internet of Things access and network communication based on MQTT. Comput. Commun. 2020, 153, 169–176. [Google Scholar] [CrossRef]
- Soni, D.; Makwana, A. A survey on mqtt: A protocol of internet of things (iot). In Proceedings of the International Conference on Telecommunication, Power Analysis and Computing Techniques (ICTPACT-2017), Chennai, India, 6–8 April 2017; Volume 20, pp. 173–177. [Google Scholar]
- Yassein, M.B.; Shatnawi, M.Q.; Aljwarneh, S.; Al-Hatmi, R. Internet of Things: Survey and open issues of MQTT protocol. In Proceedings of the 2017 International Conference on Engineering & MIS (ICEMIS), Monastir, Tunisia, 8–10 May 2017; pp. 1–6. [Google Scholar]
- Mulligan, G. The 6LoWPAN architecture. In Proceedings of the 4th Workshop on Embedded Networked Sensors, Cork, Ireland, 25–26 June 2007; pp. 78–82. [Google Scholar]
- Ma, X.; Luo, W. The analysis of 6LoWPAN technology. In Proceedings of the 2008 IEEE Pacific-Asia Workshop on Computational Intelligence and Industrial Application, Wuhan, China, 19–20 December 2008; Volume 1, pp. 963–966. [Google Scholar]
- Ee, G.K.; Ng, C.K.; Noordin, N.K.; Ali, B.M. A review of 6LoWPAN routing protocols. Proc. Asia-Pac. Adv. Netw. 2010, 30, 71–81. [Google Scholar] [CrossRef]
- Shelby, Z.; Hartke, K.; Bormann, C. The constrained application protocol (CoAP). Technical Report, 2014. Available online: https://www.rfc-editor.org/rfc/rfc7252 (accessed on 20 August 2021).
- Iglesias-Urkia, M.; Orive, A.; Urbieta, A. Analysis of CoAP implementations for industrial Internet of Things: A survey. Procedia Comput. Sci. 2017, 109, 188–195. [Google Scholar] [CrossRef]
- Betzler, A.; Gomez, C.; Demirkol, I.; Paradells, J. CoAP congestion control for the internet of things. IEEE Commun. Mag. 2016, 54, 154–160. [Google Scholar] [CrossRef] [Green Version]
- Gandhare, S.; Karthikeyan, B. Survey on FPGA architecture and recent applications. In Proceedings of the 2019 International Conference on Vision Towards Emerging Trends in Communication and Networking (ViTECoN), Vellore, India, 30–31 March 2019; pp. 1–4. [Google Scholar]
- Babu, P.; Parthasarathy, E. Reconfigurable FPGA architectures: A survey and applications. J. Inst. Eng. (India) Ser. B 2021, 102, 143–156. [Google Scholar] [CrossRef]
- Vipin, K.; Fahmy, S.A. FPGA dynamic and partial reconfiguration: A survey of architectures, methods, and applications. ACM Comput. Surv. (CSUR) 2018, 51, 1–39. [Google Scholar] [CrossRef] [Green Version]
- Sato, T.; Chivapreecha, S.; Moungnoul, P.; Higuchi, K. An FPGA Architecture for ASIC-FPGA Co-design to Streamline Processing of IDSs. In Proceedings of the 2016 International Conference on Collaboration Technologies and Systems (CTS), Orlando, FL, USA, 31 October 2016–4 November 2016; pp. 412–417. [Google Scholar] [CrossRef]
- Putnam, A.; Caulfield, A.; Chung, E.; Chiou, D.; Constantinides, K.; Demme, J.; Esmaeilzadeh, H.; Fowers, J.; Gray, J.; Haselman, M.; et al. A Reconfigurable Fabric for Accelerating Large-Scale Datacenter Services. In Proceeding of the 41st Annual International Symposium on Computer Architecuture (ISCA), Minneapolis, MN, USA, 14–18 June 2014; IEEE Press: Piscataway, NJ, USA, 2014; pp. 13–24, Selected as an IEEE Micro TopPick. [Google Scholar]
- Caulfield, A.M.; Chung, E.S.; Putnam, A.; Angepat, H.; Fowers, J.; Haselman, M.; Heil, S.; Humphrey, M.; Kaur, P.; Kim, J.Y.; et al. A cloud-scale acceleration architecture. In Proceedings of the 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Taipei, Taiwan, 15–19 October 2016; pp. 1–13. [Google Scholar]
- Shahidi, N.; Lin, X.; Munarko, Y.; Rasmy, L.; Ngo, T. AQUA: An Advanced QUery Architecture for the SPARC Portal. F1000Research 2021, 10, 930. [Google Scholar] [CrossRef]
- Wu, Y.W.; Wang, Q.G.; Zheng, L.; Liao, X.F.; Jin, H.; Jiang, W.B.; Zheng, R.; Hu, K. FDGLib: A Communication Library for Efficient Large-Scale Graph Processing in FPGA-Accelerated Data Centers. J. Comput. Sci. Technol. 2021, 36, 1051–1070. [Google Scholar] [CrossRef]
- Qin, X.; Feng, C.; Zhang, D.; Miao, B.; Zhao, L.; Hao, X.; Liu, S.; An, Q. Development of a high resolution TDC for implementation in flash-based and anti-fuse FPGAs for aerospace application. IEEE Trans. Nucl. Sci. 2013, 60, 3550–3556. [Google Scholar] [CrossRef]
- Yu, Z.; Zheng, Y.; Ge, Y.; Zhang, J.; Yao, W. Research on vibration suppression control method of space large flexible solar wing. In Seventh Asia Pacific Conference on Optics Manufacture and 2021 International Forum of Young Scientists on Advanced Optical Manufacturing (APCOM and YSAOM 2021); SPIE: Bellingham, WA, USA, 2022; Volume 12166, pp. 328–336. [Google Scholar]
- Michel, H.; Guzmán-Miranda, H.; Dörflinger, A.; Michalik, H.; Echanove, M.A. SEU fault classification by fault injection for an FPGA in the space instrument SOPHI. In Proceedings of the 2017 NASA/ESA Conference on Adaptive Hardware and Systems (AHS), Pasadena, CA, USA, 24–27 July 2017; pp. 9–15. [Google Scholar]
- He, T.; Zhang, F.; Bhunia, S.; Feng, P.X.L. Silicon carbide (SiC) nanoelectromechanical antifuse for ultralow-power one-time-programmable (OTP) FPGA interconnects. IEEE J. Electron Devices Soc. 2015, 3, 323–335. [Google Scholar] [CrossRef]
- Wicker, S.B.; Bhargava, V.K. Reed-Solomon Codes and Their Applications; John Wiley & Sons: Hoboken, NJ, USA, 1999. [Google Scholar]
- Sobolewski, J.S. Cyclic redundancy check. In Encyclopedia of Computer Science; John Wiley and Sons Ltd.: New York, USA, 2003; pp. 476–479. [Google Scholar]
- Rambabu, K.; Siriki, S.; Chupernechitha, D.; Pooja, C. Monitoring and controlling of fire fighthing robot using IOT. Int. J. Eng. Technol. Sci. Res. 2018, 5, 552–557. [Google Scholar]
- Fang, W.; Zhang, Y.; Yu, B.; Liu, S. FPGA-based ORB feature extraction for real-time visual SLAM. In Proceedings of the 2017 International Conference on Field Programmable Technology (ICFPT), Melbourne, Australia, 11–13 December 2017; pp. 275–278. [Google Scholar]
- Hagiwara, K.; Hayashi, T.; Kawasaki, S.; Arakawa, F.; Endo, O.; Nomura, H.; Tsukamoto, A.; Nguyen, D.; Nguyen, B.; Tran, A.; et al. A two-stage-pipeline CPU of SH-2 architecture implemented on FPGA and SoC for IoT, edge AI and robotic applications. In Proceedings of the 2018 IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS), Yokohama, Japan, 18–20 April 2018; pp. 1–3. [Google Scholar]
- Lockwood, J.W.; Gupte, A.; Mehta, N.; Blott, M.; English, T.; Vissers, K. A low-latency library in FPGA hardware for high-frequency trading (HFT). In Proceedings of the 2012 IEEE 20th Annual Symposium on High-Performance Interconnects, Washington, DC, USA, 22–24 August 2012; pp. 9–16. [Google Scholar]
- Boutros, A.; Grady, B.; Abbas, M.; Chow, P. Build fast, trade fast: FPGA-based high-frequency trading using high-level synthesis. In Proceedings of the 2017 International Conference on ReConFigurable Computing and FPGAs (ReConFig), Cancun, Mexico, 4–6 December 2017; pp. 1–6. [Google Scholar]
- Leber, C.; Geib, B.; Litz, H. High frequency trading acceleration using FPGAs. In Proceedings of the 2011 21st International Conference on Field Programmable Logic and Applications, Chania, Greece, 5–7 September 2011; pp. 317–322. [Google Scholar]
- Kao, Y.C.; Chen, H.A.; Ma, H.P. An FPGA-Based High-Frequency Trading System for 10 Gigabit Ethernet with a Latency of 433 ns. In Proceedings of the 2022 International Symposium on VLSI Design, Automation and Test (VLSI-DAT), Hsinchu, Taiwan, 18–21 April 2022; pp. 1–4. [Google Scholar]
- Beneš, T.; Ubik, S.; Halák, J. Packet Reordering Correction for Low-Latency Network Applications. In Proceedings of the 2022 11th Mediterranean Conference on Embedded Computing (MECO), Budva, Montenegro, 7–10 June 2022; pp. 1–5. [Google Scholar]
- Ubik, S.; Halák, J.; Melnikov, J.; Kolbe, M. Ultra-low-latency video transmissions for delay sensitive collaboration. In Proceedings of the 2020 9th Mediterranean Conference on Embedded Computing (MECO), Budva, Montenegro, 8–11 June 2020; IEEE: Piscataway, NJ, USA, 2020; pp. 1–4. [Google Scholar]
- Lorent, J.B.; Francqui, R.E. TICO Lightweight Codec Used in IP Networked or in SDI Infrastructure. SMPTE RDD 2016, 35, 2016–03. [Google Scholar]
- Kim, C.M.; Lim, S.K.; Jeong, J.D.; Choi, Y.; Koh, S.J. 6LoWPAN over Optical Wireless Communications for IPv6 Transport in Internet of Things Networks. IEEE Wirel. Commun. Lett. 2022, 11, 1142–1145. [Google Scholar] [CrossRef]
- Vedavyas, Y.; Harsha, S.S.; Subhash, M.S.; Vasavi, S. Quality Enhancement for Drone Based Video using FPGA. In Proceedings of the 2022 International Conference on Electronics and Renewable Systems (ICEARS), Tuticorin, India, 16–18 March 2022; pp. 29–34. [Google Scholar]
- Kövari, B.B.; Ebeid, E. MPDrone: FPGA-based platform for intelligent real-time autonomous drone operations. In Proceedings of the 2021 IEEE International Symposium on Safety, Security, and Rescue Robotics (SSRR), New York, NY, USA, 25–27 October 2021; pp. 71–76. [Google Scholar]
- Monterrosa, N.; Bran, C. Design and implementation of a motor control module based on PWM and FPGA for the development of a UAV flight controller. In Proceedings of the 2015 CHILEAN Conference on Electrical, Electronics Engineering, Information and Communication Technologies (CHILECON), Santiago, Chile, 28–30 October 2015; pp. 783–789. [Google Scholar]
- Fuller, B.; Kok, J.; Kelson, N.; Gonzalez, F. Hardware design and implementation of a MAVLink interface for an FPGA-based autonomous UAV flight control system. In Proceedings of the 16th Australasian Conference on Robotics and Automation 2014. Australian Robotics and Automation Association (ARAA), Melbourne, Australia, 2–4 December 2014; pp. 1–6. [Google Scholar]
- Sidler, D.; Alonso, G.; Blott, M.; Karras, K.; Vissers, K.; Carley, R. Scalable 10Gbps TCP/IP stack architecture for reconfigurable hardware. In Proceedings of the 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines, Vancouver, BC, Canada, 2–6 May 2015; pp. 36–43. [Google Scholar]
- Sidler, D.; István, Z.; Alonso, G. Low-latency TCP/IP stack for data center applications. In Proceedings of the 2016 26th International Conference on Field Programmable Logic and Applications (FPL), Lausanne, Switzerland, 29 August 2016–2 September 2016; pp. 1–4. [Google Scholar]
- Bauer, G.; Bawej, T.; Behrens, U.; Branson, J.; Chaze, O.; Cittolin, S.; Coarasa, J.A.; Darlea, G.L.; Deldicque, C.; Dobson, M.; et al. 10 Gbps TCP/IP streams from the FPGA for high energy physics. J. Phys. Conf. Ser. 2014, 513, 012042. [Google Scholar] [CrossRef]
- Langenbach, U.; Berthe, A.; Traskov, B.; Weide, S.; Hofmann, K.; Gregorius, P. A 10 GbE TCP/IP hardware stack as part of a protocol acceleration platform. In Proceedings of the 2013 IEEE Third International Conference on Consumer Electronics Berlin (ICCE-Berlin), Berlin, Germany, 9–11 September 2013; pp. 381–384. [Google Scholar]
- Ruiz, M.; Sidler, D.; Sutter, G.; Alonso, G.; López-Buedo, S. Limago: An fpga-based open-source 100 gbe tcp/ip stack. In Proceedings of the 2019 29th International Conference on Field Programmable Logic and Applications (FPL), Barcelona, Spain, 8–9 September 2019; pp. 286–292. [Google Scholar]
- He, Z.; Korolija, D.; Alonso, G. EasyNet: 100 Gbps Network for HLS. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL 2021), Dresden, Germany, 30 August 30–3 September 2021. [Google Scholar]
- Kathail, V. Xilinx Vitis Unified Software Platform; Association for Computing Machinery: New York, NY, USA, 2020; FPGA ’20; pp. 173–174. [Google Scholar] [CrossRef] [Green Version]
- Jun, S.W.; Liu, M.; Xu, S. A transport-layer network for distributed fpga platforms. In Proceedings of the 2015 25th International Conference on Field Programmable Logic and Applications (FPL), London, UK, 2–4 September 2015; pp. 1–4. [Google Scholar]
- Bunker, T.; Swanson, S. Latency-optimized networks for clustering FPGAs. In Proceedings of the 2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines, Seattle, WA, USA, 28–30 April 2013; pp. 129–136. [Google Scholar]
- Coussy, P. High-Level Synthesis: From Algorithm to Digital Circuit; Springer: Berlin/Heidelberg, Germany, 2010. [Google Scholar]
- Forencich, A.; Snoeren, A.C.; Porter, G.; Papen, G. Corundum: An open-source 100-Gbps NIC. In Proceedings of the 2020 IEEE 28th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), Fayetteville, AR, USA, 3–5 May 2020; pp. 38–46. [Google Scholar]
- Motaqi, A. Energy-performance management in battery powered reconfigurable processors for standalone IoT systems. Int. J. Inf. Technol. 2020, 12, 653–668. [Google Scholar] [CrossRef]
- Pham, D.M.; Aziz, S.M. FlexiS—A flexible sensor node platform for the internet of things. Sensors 2021, 21, 5154. [Google Scholar] [CrossRef] [PubMed]
- Zhang, Z.; Mahmud, M.; Kouzani, A.Z. Resource-constrained FPGA implementation of YOLOv2. Neural Comput. Appl. 2022, 34, 16989–17006. [Google Scholar] [CrossRef]
- Tsimpos, A.; Demartinos, A.C.; Vlassis, S.; Souliotis, G. Multi-rate programmable equalizer for M-PHY serial interface. IEEE Trans. Emerg. Top. Comput. 2019, 9, 379–389. [Google Scholar] [CrossRef]
- Kim, S.Y.; Lee, J.; Park, H.G.; Pu, Y.G.; Lee, J.Y.; Lee, K.Y. A 1.248 Gb/s-2.918 Gb/s Low-Power Receiver for MIPI-DigRF M-PHY with a Fast Settling Fully Digital Frequency Detection Loop in 0.11 μm CMOS. JSTS J. Semicond. Technol. Sci. 2015, 15, 506–517. [Google Scholar] [CrossRef]
- Nieminen, T.; Viitala, O.; Voutilainen, M.; Ryynaenen, J. A scalable low-voltage signaling (SLVS) driver for a low-power MIPI M-PHY serial link in 40 nm CMOS. In Proceedings of the PRIME 2012; 8th Conference on Ph. D. Research in Microelectronics & Electronics, Aachen, Germany, 12–15 June 2012; VDE: Frankfurt am Main, Germany, 2012; pp. 1–4. [Google Scholar]
- Alliance, E. The 2018 Ethernet Roadmap; Ethernet Alliance: Beaverton, OR, USA, 2018. [Google Scholar]
- Guo, X. Application of agricultural IoT technology based on 5 G network and FPGA. Microprocess. Microsyst. 2021, 80, 103597. [Google Scholar] [CrossRef]
- Peng, Y.; Shangguan, L.; Hu, Y.; Qian, Y.; Lin, X.; Chen, X.; Fang, D.; Jamieson, K. PLoRa: A passive long-range data network from ambient LoRa transmissions. In Proceedings of the 2018 Conference of the ACM Special Interest Group on Data Communication, Budapest, Hungary, 20–25 August 2018; pp. 147–160. [Google Scholar]
- Tien, T.D.; Ngoc, T.N.; Thanh, D.T.; Xuan, T.T. Speed Up Communication for IoT Devices Using Small Form Factor in FPGA Platform. In Intelligent Systems and Networks; Springer: Berlin/Heidelberg, Germany, 2022; pp. 185–193. [Google Scholar]
- Wielgosz, M.; Karwatowski, M. Mapping neural networks to FPGA-based IoT devices for ultra-low latency processing. Sensors 2019, 19, 2981. [Google Scholar] [CrossRef] [Green Version]
- Hong, S.; Park, Y. A FPGA-based neural accelerator for small IoT devices. In Proceedings of the 2017 International SoC Design Conference (ISOCC), Seoul, Korea, 5–8 November 2017; pp. 294–295. [Google Scholar]
- Seemakhupt, K.; Liu, S.; Senevirathne, Y.; Shahbaz, M.; Khan, S. PMNet: In-network data persistence. In Proceedings of the 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA), Valencia, Spain, 14–18 June 2021; pp. 804–817. [Google Scholar]
- Sidler, D.; Wang, Z.; Chiosa, M.; Kulkarni, A.; Alonso, G. StRoM: Smart remote memory. In Proceedings of the Fifteenth European Conference on Computer Systems, Heraklion Greece, 27–30 April 2020; pp. 1–16. [Google Scholar]
- El-Medany, W.M.; El-Sabry, M.R. GSM-based remote sensing and control system using FPGA. In Proceedings of the 2008 International Conference on Computer and Communication Engineering, Kuala Lumpur, Malaysia, 13–15 May 2008; pp. 1093–1097. [Google Scholar]
- Oukaira, A.; Benelhaouare, A.Z.; Kengne, E.; Lakhssassi, A. FPGA-Embedded Smart Monitoring System for Irrigation Decisions Based on Soil Moisture and Temperature Sensors. Agronomy 2021, 11, 1881. [Google Scholar] [CrossRef]
- Dierks, T.; Rescorla, E. The Transport Layer Security (TLS) Protocol Version 1.2. Technical Report, 2008. Available online: https://www.rfc-editor.org/rfc/rfc5246 (accessed on 20 August 2021).
- Kothmayr, T.; Schmitt, C.; Hu, W.; Brünig, M.; Carle, G. DTLS based security and two-way authentication for the Internet of Things. Ad. Hoc. Netw. 2013, 11, 2710–2723. [Google Scholar] [CrossRef]
- Ilyas, B.; Raouf, S.M.; Abdelkader, S.; Camel, T.; Said, S.; Lei, H. An Efficient and Reliable Chaos-Based IoT Security Core for UDP/IP Wireless Communication. IEEE Access 2022, 10, 49625–49656. [Google Scholar] [CrossRef]
- Yu, H.; Lee, H.; Lee, S.; Kim, Y.; Lee, H.M. Recent advances in FPGA reverse engineering. Electronics 2018, 7, 246. [Google Scholar] [CrossRef] [Green Version]
- Moradi, A.; Barenghi, A.; Kasper, T.; Paar, C. On the vulnerability of FPGA bitstream encryption against power analysis attacks: Extracting keys from Xilinx Virtex-II FPGAs. In Proceedings of the 18th ACM conference on Computer and Communications Security, Chicago, IL, USA, 17–21 October 2011; pp. 111–124. [Google Scholar]
- Jeong, M.; Lee, J.; Jung, E.; Kim, Y.H.; Cho, K. Extract LUT logics from a downloaded bitstream data in FPGA. In Proceedings of the 2018 IEEE International Symposium on Circuits and Systems (ISCAS), Firenze Fiera, Italy, 27–30 May 2018; pp. 1–5. [Google Scholar]
- Vreča, J.; Ivanov, I.; Papa, G.; Biasizzo, A. Detecting network intrusion using binarized neural networks. In Proceedings of the 2021 IEEE 7th World Forum on Internet of Things (WF-IoT), New Orleans, LA, USA, 14 June 2021–31 July 2021; pp. 622–627. [Google Scholar]
- Utter, A.; Zakrzewski, M.; Keene, A.; Dietrich, S.; Lin, S.; McDonald, E.; Whitehair, N.; Zheng, J. SatCat5: A Low-Power, Mixed-Media Ethernet Network for Smallsats. In Proceedings of the 34th Annual Small Satellite Conference, Technical Session XII: Communications, Logan, UT, USA, 1–6 August 2020. [Google Scholar]
- Bembe, M.; Abu-Mahfouz, A.; Masonta, M.; Ngqondi, T. A survey on low-power wide area networks for IoT applications. Telecommun. Syst. 2019, 71, 249–274. [Google Scholar] [CrossRef]
- Wentzloff, D.D.; Alghaihab, A.; Im, J. Ultra-low power receivers for IoT applications: A review. In Proceedings of the 2020 IEEE Custom Integrated Circuits Conference (CICC), Boston, MA, USA, 22–25 March 2020; pp. 1–8. [Google Scholar]
- Kalsoom, T.; Ramzan, N.; Ahmed, S.; Ur-Rehman, M. Advances in sensor technologies in the era of smart factory and industry 4.0. Sensors 2020, 20, 6783. [Google Scholar] [CrossRef]
- Soliman, S.; Jaela, M.A.; Abotaleb, A.M.; Hassan, Y.; Abdelghany, M.A.; Abdel-Hamid, A.T.; Salama, K.N.; Mostafa, H. FPGA implementation of dynamically reconfigurable IoT security module using algorithm hopping. Integration 2019, 68, 108–121. [Google Scholar] [CrossRef]
- Perera, D.G.; Li, K.F. A design methodology for mobile and embedded applications on FPGA-based dynamic reconfigurable hardware. Int. J. Embed. Syst. 2019, 11, 661–677. [Google Scholar] [CrossRef]
System Name | FPGA | LUT Usage | FF Usage | DSP Usage | BRAM Usage |
---|---|---|---|---|---|
Limago | VCU118 | 120k | 178k | N.L. | 441 |
EasyNet | U280 | 141k | N.L. | 9 | 522 |
Corundum | XCVU3P | 62k | 74k | N.L. | 331 |
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations. |
© 2022 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Magyari, A.; Chen, Y. Review of State-of-the-Art FPGA Applications in IoT Networks. Sensors 2022, 22, 7496. https://doi.org/10.3390/s22197496
Magyari A, Chen Y. Review of State-of-the-Art FPGA Applications in IoT Networks. Sensors. 2022; 22(19):7496. https://doi.org/10.3390/s22197496
Chicago/Turabian StyleMagyari, Alexander, and Yuhua Chen. 2022. "Review of State-of-the-Art FPGA Applications in IoT Networks" Sensors 22, no. 19: 7496. https://doi.org/10.3390/s22197496
APA StyleMagyari, A., & Chen, Y. (2022). Review of State-of-the-Art FPGA Applications in IoT Networks. Sensors, 22(19), 7496. https://doi.org/10.3390/s22197496