Next Article in Journal / Special Issue
Enhancing Food Supply Chain Security through the Use of Blockchain and TinyML
Previous Article in Journal
A Proposal of the Fingerprint Optimization Method for the Fingerprint-Based Indoor Localization System with IEEE 802.15.4 Devices
Previous Article in Special Issue
A Traffic-Load-Based Algorithm for Wireless Sensor Networks’ Lifetime Extension
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Exploiting Net Connectivity in Legalization and Detailed Placement Scenarios

1
Computer Science & Telecommunications Department, University of Thessaly, 35100 Lamia, Greece
2
Electrical and Computer Engineering Department, University of Thessaly, 38334 Volos, Greece
3
Computer Science Department, University of Western Macedonia, 52100 Kastoria, Greece
*
Authors to whom correspondence should be addressed.
Information 2022, 13(5), 212; https://doi.org/10.3390/info13050212
Submission received: 14 March 2022 / Revised: 9 April 2022 / Accepted: 12 April 2022 / Published: 20 April 2022

Abstract

:
Standard-cell placement is the fundamental step in a typical VLSI/ASIC design flow. Its result, paired with the outcome of the routing procedure can be the decisive factor in rendering a design manufacturable. Global placement generates an optimized instance of the design targeting a set of metrics, while ignoring rules pertaining its feasibility. Legalization and detailed placement rectify this situation, attempting to attain minimum quality loss by often disregarding the connectivity between cells and making runtime the focal point of these steps. In this article, we present a set of variations on a connectivity-based legalization scheme that can either be applied as a legalizer or a detailed placer. The variations can be applied in the entirety of the chip area or in the confinement of a user-specified bin while they are guided by various optimization goals, e.g., total wire length, displacement and density. We analytically describe our variations and evaluate them through extensive simulations on commonly used benchmarks.

1. Introduction

The constant change in chip design requirements, has led to the creation of custom design methodologies based on the available vendor-related tool flows and the expected quality of result. Nevertheless, there is a mature and proven backbone process which can be seen in Figure 1 and includes the following steps:
  • Functional Specification: Architecture, features and functionalities specification in terms of power consumption, area and delay.
  • HDL Design and Simulation: Design implementation using a Hardware Description Language (HDL) (primarily VHDL or Verilog) followed by a functional simulation that verifies the logical and/or algorithmic behavior of the design.
  • Synthesis: Conversion of the HDL design into an optimal technology-dependent gate-level netlist, based on a set of constraints.
  • Floorplanning: Design partitioning that generates the shape and size of blocks, followed by block, macros and pin placement, and chip area estimation.
  • Placement: Designation of standard cells’ positions in pre-defined rows as to minimize total interconnect wire length, power dissipation and delay. Placement can be further divided into three distinct steps: global placement, legalization and detailed placement. During global placement, the coordinates of each standard cell are computed as the outcome of an overall optimization procedure that focuses on minimizing key metrics in addition to interconnect wire length. The result at hand might, and most certainly will, contain overlapping cells and/or cells that are not properly embedded in the design’s predefined rows, a situation which certifies the infeasibility of the manufacturing procedure. The aforementioned issues can be resolved by distancing and fitting the cells throughout legalization. Moreover, the deterioration caused by the preceding step is leveled by minor and swift modifications that are performed in detailed placement.
  • Routing: Implementation of the connections between cells, blocks and pins.
  • Verification and Signoff: Succeeding routing, the design process undergoes three steps of physical verification (commonly referred as signoff):
    Layout versus schematic (LVS), certifying that the layout matches the schematic.
    Design rule check (DRC), affirming that the geometry follows the foundry rules.
    Logical equivalence check(LVC), checking the equivalence between pre and post design layout.
The following list reports on the contribution of our work:
  • We propose six variations on the legalization scheme described at [1] that can be easily applied on top of any modern standard cell design.
  • Each variation can be applied either as a legalizer or as a detailed placer.
  • Proposed approaches managed to reduce the total interconnect wire length up to 81% upon the original legalization scheme [1], without significantly affecting the execution time.
  • Extensive simulations are conducted to analyze the performance of proposed variations against state-of-the-art algorithms using 22 real-world benchmark circuits
The rest of the article is organized as follows. Section 2 presents related approaches considering legalization and detailed placement, Section 3 introduces a list of variations on a previously published legalization scheme [1], that can be applied either as a legalizer or as a detailed placer, while Section 4 accommodates the experimental results for the selected benchmark suites, followed by a discussion and the conclusions in Section 5.

2. Related Work

Tetris [2] and Abacus [3] have laid the foundation for most modern legalizers. A mutual and beneficial feature displayed by both algorithms is their overall execution time, and the ability to minimize total displacement considering the initial locations of the cells. However, both algorithms can be characterised as wire length agnostic, since the minimization of the total interconnect wire length is ignored in placement decisions. Due to their straightforward approach and swift manner, these algorithms provide a good baseline solution, that can be further enhanced with additional optimization approaches and heuristics that mitigate their disadvantages.
In [4], a collection of Tetris-based heuristics, that improve upon major placement-related metrics is presented. Tempering with the directionality regarding each cell’s movement and the construction of the chip area grid, restricts abrupt cell movements, thus avoiding a sharp increase in interconnection wire length. A two-step overlap elimination procedure is presented in [5]. Initially, the cells are redeployed vertically, until the row capacity constraints are satisfied, while at later stage, the overlaps within rows are eliminated by modelling the procedure as a topological shortest path calculation problem.
OAL [6] and Domocus [7] can be considered as Abacus-adjacent. OAL presumes upon a linear wire length model and can be applied successfully in designs containing obstacles, while Domocus utilises parallelisation techniques in order to mitigate the high execution time and memory consumption of Abacus. To do that, authors proposed the partition of the entire circuit area into equally-sized vertical zones. Then, the Abacus algorithm is applied in parallel to each zone over the cells contained in the zone. In this way, the need for extra synchronization overhead is avoided (e.g., semaphores) as each zone is processed independently. In addition, in [8] a history-based legalizer is proposed. During each iteration of the algorithm, feasible solutions to the legalization problem at hand are automatically applied, while non-feasible solutions are registered in an appropriate record, forming a sequence of possible moves that should be discouraged in future iterations.
Additionally, in [9], a legalization algorithm targeting mixed-height cell designs that takes into consideration custom region constraints is presented in [10], a swift optimization method for quadratic cell movement that can manage designs containing cells with height equal to that of two standard rows is deployed to tackle the legalization problem, while in [11] the legalization scheme qualifies the minimization of displacement as its target metric.
Finally, in [1], an approach that incorporates the connectivity between cells throughout each iteration of a typical legalization algorithm is presented. Cells are dealt with in an ascending order, according to their lower left corner x-coordinate. For each cell the insertion cost in each row is calculated based on its displacement, and the most cost-effective row is selected to accommodate the cell in question. Upon the completion of the aforementioned move, all cells belonging to the same nets as the preceding cell, are moved by the exactly same amount in the same direction. The only cells excluded from the previous move are those that have been relocated in previous iterations or those that, based on the calculated displacement, are going to be placed outside the boundaries of the core area. The process concludes when all cells have once taken the role of the leader.
Furthermore, considering detailed placement, various approaches have been proposed in academia. We should bear in mind, that these approaches should ideally have minor execution time compared to global placement and yield moderate gains in terms of total half perimeter wire length (HPWL), thus they fall under at least one of the following categories:
Single row optimization approaches commonly assume a fixed cell ordering, generated by a previous legalization step and capitalize on the principle of dynamic programming. Unfolding from a pre-computed solution combined with a minimum cost constraint assigned to each cell and related to their current position, an iterative process commences that leads to the computation of the final coordinates.
As for cell-to-slot matching, a subgroup of exchangeable cells is initially identified in a pre-defined bin. A matching problem is subsequently formulated, where cells are to be matched with unoccupied slots inside the aforementioned bin. The legality of the solution might be taken into account (selecting cells with widths less or equal to the slot’s at hand) or otherwise a supplementary legalization step is required.
Lastly, cell swapping although the most “simplistic” approach, encompasses a vast amount of possible variations considering the selection of inter-exchangeable cells, the most straightforward approach being taking into account only same size cells therefore retaining the legality of the design. Moreover, the search space can either be expanded by including different size cells or consider empty spaces as void cells, or be restricted in a specific window/bin/row.

3. Approaches

There is a plethora of algorithms and heuristics that can be applied throughout physical design [38,39,40,41] that achieve feasible solutions upon each of the distinct steps of the placement procedure. Since standard cell placement can be perceived as an NP problem [42,43], constant research and experimentation is required in order to achieve near optimal solutions without sacrificing execution time.
The most common performance metrics for algorithms belonging in this area are total HPWL and total displacement, both measured in distance units. HPWL is directly related with the routability and the timing behavior of the final outcome, while displacement can be interpreted as a metric showcasing how much we have diverged from an optimal, although illegal, initial solution. A good approximation considering the interconnection wire length of a net, can be calculated based on the half perimeter of the minimum bounding box enclosing its components. Thus, total half perimeter wire length is the summation of each net’s wire length. Displacement is calculated as the Manhattan distance between a cell’s initial position (after the global placement phase) and the one obtained after any of the subsequent phases (legalization and/or detailed placement). It should be noted that a chip’s row density is calculated as the the total area of the cells embedded in the row divided by the row’s area.
The work in [1], is used as the yardstick in the proposed methods and as such its functionality requires further analysis. The aforementioned algorithm can be applied either as a legalizer or as a detailed placer in a typical placement flow.
It receives a globally placed design as its input, and proceeds in eliminating overlap and overflow phenomena, meaning overlapping core elements or cells that that extend further than the die area. In the beginning, all core elements are sorted in an ascending order based on their leftmost-x coordinate. Following that, each leading cell is iteratively placed in the die area at the leftmost available position, at a minimum distance from their original position. In an intermediate step, every cell that is directly connected to the leading cell and is a part of the same net, duplicate the movement of its leader, aiming at the deceleration of the deterioration of the total half perimeter wire length.
While this approach succeeds in eradicating manufacturability issues and produces a legal design, it exhibits some drawbacks. Firstly, by stacking all cells to the leftmost available position, congested (highly dense areas) are formed in the left side of the chip and secondly, only half of the available options are considered while checking for the optimal leftmost available positions. Amending these handicaps is the focal point of the variations presented in this work.
The first set of variations focuses on the legalization capabilities of the algorithm:
  • unbounded_bidirectional (ub): The main difference from its original counterpart is the utilization of both sides of the chip while considering the position with minimum displacement where the leading cell will be placed. The interconnected cells are relocated in the exact same manner. By considering additional placement slots, cells that are going to be placed in future iterations, will have increased chances of being placed in an optimal position. Moreover, the left-right arrangement reduces the overall density of the chip. Figure 2 depicts an execution example of u b , followed by Algorithm 1 describing its functionality.
  • bounded_bidirectional_dens_limit (bd): This variation follows the previous bidirectional arrangement but applies a density threshold, in addition, for each row. Upon reaching this threshold, the row at hand is viewed as a macro that cannot be tampered with. The goal is to decongest the globally placed design while simultaneously correcting any illegalities, functioning as a legalizer and a detailed placer at the same time.
  • unbounded_bidirectional_div (ubd): Practically, a modified version of u b that differentiates in the intermediate step of moving interconnected cells, by recalculating their displacement following the formula:
    t o t a l _ d i s p = 0 n 1 o r i g _ d i s p 2 n ,
    where n is the number of common nets and o r i g _ d i s p is the displacement of the leading cell. The goal is to minimize total displacement by limiting the number of moves a cell has to make.
  • bounded_bidirectional_div_dens_limit (bdd): An amalgamation of the two previous methods, applying a density threshold on every row of the design while applying the same formula for relocating the interconnected cells.
Algorithm 1 Unbounded Bidirectional
1:
Sort cells in ascending order based on their x-coordinate
2:
for   c e l l = c e l l s [ 0 , 1 , , N 1 ] do
3:
      Find minimum displacement cell position searching in both directions
4:
      Place cell in new position AND render this cell immovable
5:
      for  n e t = c e l l . n e t s [ 0 , 1 , , N 1 ]  do
6:
            for  c e l l _ i n t e r = n e t . c e l l s [ 0 , 1 , , N 1 ]  do
7:
                   Move cell_inter the same way as cell
8:
            end for
9:
      end for
10:
end for
Additional adaptations were explored utilizing the option of dividing the overall chip area in an even number of bins, thus creating a grid, where the aforementioned variations could be applied separately. The number of bins is initially defined based on the user’s input leading to the grid formation (i.e., if the given size is equal to 4, the grid will contain 16 bins). This grid can be considered static, since it remains stable throughout the execution of each approach. The minimum and maximum value of the grid size cannot be strictly defined as it depends upon the design’s overall area and core elements.
  • unbounded_bidirectional_grid (ubg): The core functionality is implemented, unmodified, and applied upon each bin of the design. Every cell within a bin is placed into an optimal positions following the bidirectional search pattern, generating a layout similar to the one presented in Figure 3. Further displacement reduction is attained, That way we can achieve further reduction upon displacement of every core element and also achieve decongestion of more areas within the design.
  • unbounded_bidirectional_div_grid (ubdg): Similar to the corresponding legalization scheme where the minimization of interconnected cells’ displacement is taken into account. The generated grid restricts considerably the available search areas.
It should be noted that grid-based versions of the density-driven approaches were also implemented, but as the results demonstrate, there may exist certain cases where grid-based approaches exhibit performance degradation. Finally, a subset of the variations was applied as a detailed placement scheme, in randomly placed designs containing macros to test their effectiveness in a different context.
The proposed variations have an O ( C ( R + C N C X ) ) time complexity for C cells, R rows. C N and C X denote the number of nets where cell C is a part of and the number of cells connected via the net with C, respectively.

4. Experimental Results

4.1. Simulation Setup

Standard Cell Designs
We report on the experimental evaluation of the proposed variation relying on eighteen (18) standard cell designs (benchmarks) as depicted by [44] and on four (4) benchmarks from [45]. These benchmarks are derived from industrial ASIC designs. The number of cells is ranging from 12,506 to 210,341 and from 211,447 to 496,045, respectively. The total number of nets varies from 14,111 to 201,920 and from 221,142 to 515,951, accordingly. The detailed characteristics of ISPD’98 and ISPD’05 benchmarks are presented in Table 1 and Table 2, respectively. Regarding [45], in order to produce a feasible all non-movable objects must to placed inside the placement region. The designs were globally placed using mPL6 [46] and ePlace [47,48], and were subsequently legalized by applying the baseline legalizer and the variations described in the previous section.
Performance Metrics
To evaluate the performance of the proposed variations we adopt the following set of metrics: (i) total HPWL, (ii) total displacement and (iii) the overall execution time. For each design, all metrics were measured as the percentage of performance improvement of each approach (A) over the connectivity-based legalization scheme (B) as follows:
i m p r o v e m e n t = p e r f o r m a n c e ( B ) p e r f o r m a n c e ( A ) p e r f o r m a n c e ( B )
All algorithms were implemented in Python, and experiments were performed on a Linux-based server, with two 6-core Intel Xeon E5-2630 CPUs running 2.2 GHz, using the benchmark suit of [44,45].

4.2. Performance Assessment

Firstly, we perform a set of experiments using mPL6 as a global placer over the ISPD’98 benchmarks, whose characteristics are presented in Table 1. Each figure is divided into four sub figures each oh which presents a different proposed variation. In Figure 4, we present the percentage improvement in terms of HPWL. In total all of our variations are performing good in case of HPWL improvement, which is quite encouraging for our variations. In case of u b , b d , u b d and b d d , the improvement is proportional to the size of the design as well as the methodology that each approach is following. This can be justified by the fact that the latter approaches are performing changes to the entire design. To be more precise, u b (Figure 4a), is the first and most similar variation to the original algorithm. Introducing a novel methodology to identify the best position to place a cell clearly achieves significant results in terms of HPWL. Density threshold approaches ( b d and b d d ) are presented in Figure 4c,d. A notable reduction in HWPL (up to 70%) is achieved when we set the threshold down to 95% of the original row density. We have to mention that lower threshold values will result into non-feasible solutions. This is due to the nature of our benchmarks under testing, as they are smaller in scale and already densely populated. In all the aforementioned cases, we can notice a performance degradation in terms of displacement and execution time. This is expected, as in our approaches, by minimizing HPWL we need to re-place interconnected cells as close as possible in an iterative manner. Regarding the grid based approaches ( u b g and u b d g ) our variations demonstrate the best performance. Furthermore, in Figure 6a,b it is shown how the techniques that divide the designs into grid can decrease significantly the execution time. The above performance is reasonable since the best position of each cell can be found by exploring a much smaller-in-scale area compared to the rest of the variations. Additionally, this impacts the HWPL metric as well, because the displacement of each cell from its initial position is far smaller in range (Figure 5a,b).
Subsequently, the same set of designs, now globally placed by ePlace, are utilized to enhance our understanding upon the efficiency of the proposed approaches. The results are quite similar and follow the same patterns as those of the first set, thus proving that all approaches are performing better than the original algorithm, as a legalization method, regardless of the global placement algorithms applied in previous steps. The results in their entirety are depicted in Figure 7, Figure 8 and Figure 9. As can be seen in Table 3, considering the grid-based approaches, whose effectiveness is explained in detail in the previous paragraph, remarkable maximum average improvement can be observed in key metrics (81% for HPWL, 93% for displacement and 99% in execution time) in comparison to the baseline legalizer. Analytic results considering HPWL are depicted in Table 4.
The last set of experiments targeted the application of the aforementioned approaches as detailed placement methods over legalized designs. The benchmarks from [45] were globally placed in a random manner and legalized by the original algorithm. It should be noted that these designs contain immovable core elements that cannot partake in any placement-related procedure and cannot be moved/re-placed in any way. The size of these elements, compared to the available spaces in the die area, render the density-driven approaches presented, impractical, and as a consequence no further experiments were performed.
The non-grid versions of u b and u b d improve HPWL, as expected. As for their counterpart, although the 2 × 2 grid version seems unable to generate sustainable results (mainly due to the “crude” partitioning of the overall area), the remainder of the approaches outperform this version in an incremental way as the partitioning scale rises to create greater grids, as depicted in Figure 10, Figure 11 and Figure 12 display the results concerning displacement and execution time, respectively. In both cases, improvements are inversely proportional to the size of the design and the grid constructed.

5. Discussion and Conclusions

In this article, we introduced new approaches to tackle the VLSI placement problem. We presented legalization and detailed placement variations that consider the entire chip design as well as grid based approaches whereby the entire design area is divided into a variable sized grid. We provide the outcome of an extensive experimentation using 22 real-world benchmark circuits (fixed macro blocks were included) and compared the proposed variations with a sophisticated legalizer/detailed placer and two global placers. Our evaluation exhibits that the proposed variations can outperform the performance of the solutions provided in the respective literature in terms of both HPWL and execution time. Viewing the results in a retrospect we can state that the variations that utilize the grid formation achieve the best performance in all three optimization criteria, i.e., HPWL, displacement and execution time. Generally, in grid variations the total number of cells’ moves are fewer compared to the rest approaches as the grid creation generates small areas of unused space, i.e., smaller number of candidate positions to allocate an unplaced cell. The above holds true regarding the type of global placer being used as an initial step. Thus, the proposed variations can be applied after any global placer encountered without jeopardising the anticipated performance.

Author Contributions

Conceptualization, A.D., G.K. and P.O.; methodology, A.D. and G.K.; software, G.K.; validation, A.D. and P.O.; investigation, A.D., G.F. and M.D.; resources, A.D. and G.K.; data curation, G.K.; writing—original draft preparation, A.D., G.K. and P.O.; writing—review and editing, G.F. and M.D.; visualization, G.K.; supervision, A.D. and M.D. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Data available on request due to restrictions.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Dadaliaris, A.N.; Oikonomou, P.; Koziri, M.G.; Nerantzaki, E.; Loukopoulos, T.; Stamoulis, G.I. A connectivity-based legalization scheme for standard cell placement. Circuits Syst. 2017, 8, 191. [Google Scholar] [CrossRef] [Green Version]
  2. Hill, D. Method and System for High Speed Detailed Placement of Cells within an Integrated Circuit Design. U.S. Patent 6,370,673, 9 April 2002. [Google Scholar]
  3. Spindler, P.; Schlichtmann, U.; Johannes, F.M. Abacus: Fast legalization of standard cell circuits with minimal movement. In Proceedings of the 2008 International Symposium on Physical Design, Portland, OR, USA, 13–16 April 2008; pp. 47–53. [Google Scholar]
  4. Dadaliaris, A.N.; Oikonomou, P.; Koziri, M.G.; Nerantzaki, E.; Hatzaras, Y.; Garyfallou, D.; Loukopoulos, T.; Stamoulis, G.I. Heuristics to augment the performance of Tetris legalization: Making a fast but inferior method competitive. J. Low Power Electron. 2017, 13, 220–230. [Google Scholar] [CrossRef]
  5. He, L.; Kahng, A.B.; Tam, K.H.; Xiong, J. Variability-driven considerations in the design of integrated-circuit global interconnects. In Proceedings of the 21th Intl. VLSI Multilevel Interconnection (VMIC) Conf. Citeseer, Waikoloa, HI, USA, 29 September–2 October 2004; pp. 214–221. [Google Scholar]
  6. Chou, S.; Ho, T.Y. OAL: An obstacle-aware legalization in standard cell placement with displacement minimization. In Proceedings of the IEEE International SOC Conference (SOCC), Belfast, Ireland, 9–11 September 2009; pp. 329–332. [Google Scholar]
  7. Oikonomou, P.; Koziri, M.G.; Dadaliaris, A.N.; Loukopoulos, T.; Stamoulis, G.I. Domocus: Lock free parallel legalization in standard cell placement. In Proceedings of the 6th International Conference on Modern Circuits and Systems Technologies (MOCAST), Thessaloniki, Greece, 4–6 May 2017; pp. 1–4. [Google Scholar]
  8. Cho, M.; Ren, H.; Xiang, H.; Puri, R. History-based VLSI legalization using network flow. In Proceedings of the 47th Design Automation Conference, Anaheim, CA, USA, 13–18 June 2010; pp. 286–291. [Google Scholar]
  9. Zhu, Z.; Chen, J.; Zhu, W.; Chang, Y.W. Mixed-cell-height legalization considering technology and region constraints. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2020, 39, 5128–5141. [Google Scholar] [CrossRef]
  10. Hougardy, S.; Neuwohner, M.; Schorr, U. A Fast Optimal Double Row Legalization Algorithm. In Proceedings of the 2021 International Symposium on Physical Design, Online, 22–24 March 2021; pp. 23–30. [Google Scholar]
  11. Ferreira, J.; Butzen, P.F.; Meinhardt, C.; Reis, R.A. FBM: A Simple and Fast Algorithm for Placement Legalization. In Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS), Genoa, Italy, 27–29 November 2019; pp. 209–212. [Google Scholar]
  12. Chen, J.; Zhu, W. An analytical placer for VLSI standard cell placement. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2012, 31, 1208–1221. [Google Scholar] [CrossRef]
  13. Bredthauer, B.; Olbrich, M.; Barke, E. Stp-a quadratic vlsi placement tool using graphic processing units. In Proceedings of the 17th International Symposium on Parallel and Distributed Computing (ISPDC), Amsterdam, The Netherlands, 3–7 June 2018; pp. 77–84. [Google Scholar]
  14. Lin, Y.; Pan, D.Z.; Ren, H.; Khailany, B. DREAMPlace 2.0: Open-source gpu-accelerated global and detailed placement for large-scale vlsi designs. In Proceedings of the China Semiconductor Technology International Conference (CSTIC), Shanghai, China, 18–19 March 2020; pp. 1–4. [Google Scholar]
  15. Gu, J.; Jiang, Z.; Lin, Y.; Pan, D.Z. DreamPlace 3.0: Multi-electrostatics based robust VLSI placement with region constraints. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD), San Diego, CA, USA, 2–5 November 2020; pp. 1–9. [Google Scholar]
  16. Lin, Y.; Li, W.; Gu, J.; Ren, H.; Khailany, B.; Pan, D.Z. ABCDPlace: Accelerated batch-based concurrent detailed placement on multithreaded cpus and GPUs. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2020, 39, 5083–5096. [Google Scholar] [CrossRef]
  17. Zhu, W.; Huang, Z.; Chen, J.; Chang, Y.W. Analytical solution of poisson’s equation and its application to vlsi global placement. In Proceedings of the International Conference on Computer-Aided Design, San Diego, CA, USA, 5–8 November 2018; pp. 1–8. [Google Scholar]
  18. Lin, T.; Chu, C.; Wu, G. POLAR 3.0: An ultrafast global placement engine. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, USA, 2–6 November 2015; pp. 520–527. [Google Scholar]
  19. Chen, J.; Peng, Z.; Zhu, W. A VLSI global placement solver based on proximal alternating direction method. In Proceedings of the IEEE 11th International Conference on ASIC (ASICON), Chengdu, China, 3–6 November 2015; pp. 1–4. [Google Scholar]
  20. Popovych, S.; Lai, H.H.; Wang, C.M.; Li, Y.L.; Liu, W.H.; Wang, T.C. Density-aware detailed placement with instant legalization. In Proceedings of the 51st ACM/EDAC/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, 1–5 June 2014; pp. 1–6. [Google Scholar]
  21. Cheng, C.K.; Kahng, A.B.; Kang, I.; Wang, L. Replace: Advancing solution quality and routability validation in global placement. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2018, 38, 1717–1730. [Google Scholar] [CrossRef]
  22. Chen, T.C.; Jiang, Z.W.; Hsu, T.C.; Chen, H.C.; Chang, Y.W. NTUplace3: An analytical placer for large-scale mixed-size designs with preplaced blocks and density constraints. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2008, 27, 1228–1240. [Google Scholar] [CrossRef] [Green Version]
  23. Darav, N.K.; Kennings, A.; Westwick, D.; Behjat, L. High performance global placement and legalization accounting for fence regions. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, USA, 2–6 November 2015; pp. 514–519. [Google Scholar]
  24. Hu, B.; Marek-Sadowska, M. FAR: Fixed-points addition & relaxation based placement. In Proceedings of the 2002 International Symposium on Physical Design, Del Mar, CA, USA, 7–10 April 2002; pp. 161–166. [Google Scholar]
  25. Vygen, J. Algorithms for detailed placement of standard cells. In Proceedings of the Conference on Design, Automation and Test in Europe, Paris, France, 23–26 February 1998; pp. 321–324. [Google Scholar]
  26. Chan, T.; Cong, J.; Sze, K. Multilevel generalized force-directed method for circuit placement. In Proceedings of the International Symposium on Physical Design, San Francisco, CA, USA, 3–6 April 2005; pp. 185–192. [Google Scholar]
  27. Yang, X.; Sarrafzadeh, M.; Wang, M. Dragon2000: Standard-cell placement tool for large industry circuits. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design, ICCAD-2000, IEEE/ACM Digest of Technical Papers (Cat. No. 00CH37140), San Jose, CA, USA, 5–9 November 2000; pp. 260–263. [Google Scholar]
  28. Taghavi, T.; Yang, X.; Choi, B.K. Dragon2005: Large-scale mixed-size placement tool. In Proceedings of the 2005 International Symposium on Physical Design, San Francisco, CA, USA, 3–6 April 2005; pp. 245–247. [Google Scholar]
  29. Kim, M.C.; Viswanathan, N.; Alpert, C.J.; Markov, I.L.; Ramji, S. MAPLE: Multilevel adaptive placement for mixed-size designs. In Proceedings of the 2012 ACM International Symposium on International Symposium on Physical Design, Taipei, Taiwan, 29 March–1 April 2012; pp. 193–200. [Google Scholar]
  30. Luo, T.; Pan, D.Z. DPlace2. 0: A stable and efficient analytical placement based on diffusion. In Proceedings of the Asia and South Pacific Design Automation Conference, Seoul, Korea, 21–24 January 2008; pp. 346–351. [Google Scholar]
  31. Yan, J.Z.; Chu, C.; Mak, W.K. Safechoice: A novel approach to hypergraph clustering for wirelength-driven placement. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2011, 30, 1020–1033. [Google Scholar] [CrossRef] [Green Version]
  32. He, X.; Huang, T.; Xiao, L.; Tian, H.; Cui, G.; Young, E.F. Ripple: An effective routability-driven placer by iterative cell movement. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Diego, CA, USA, 2–5 November 2011; pp. 74–79. [Google Scholar]
  33. He, X.; Huang, T.; Xiao, L.; Tian, H.; Young, E.F. Ripple: A robust and effective routability-driven placer. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2013, 32, 1546–1556. [Google Scholar] [CrossRef]
  34. Kim, M.C.; Lee, D.J.; Markov, I.L. SimPL: An effective placement algorithm. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2011, 31, 50–60. [Google Scholar] [CrossRef] [Green Version]
  35. Kim, M.C.; Lee, D.J.; Markov, I.L. Simpl: An algorithm for placing VLSI circuits. Commun. ACM 2013, 56, 105–113. [Google Scholar] [CrossRef]
  36. Spindler, P.; Schlichtmann, U.; Johannes, F.M. Kraftwerk2—A fast force-directed quadratic placement approach using an accurate net model. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2008, 27, 1398–1411. [Google Scholar] [CrossRef]
  37. Chang, C.C.; Cong, J.; Pan, Z.; Yuan, X. Multilevel global placement with congestion control. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2003, 22, 395–409. [Google Scholar] [CrossRef]
  38. Sherwani, N.A. Algorithms for VLSI Physical Design Automation; Springer Science & Business Media: Berlin/Heidelberg, Germany, 2012. [Google Scholar]
  39. Alpert, C.J.; Mehta, D.P.; Sapatnekar, S.S. Handbook of Algorithms for Physical Design Automation; CRC Press: Boca Raton, FL, USA, 2008. [Google Scholar]
  40. Sait, S.M.; Youssef, H. VLSI Physical Design Automation: Theory and Practice; World Scientific: Singapore, 1999; Volume 6. [Google Scholar]
  41. Kahng, A.B.; Lienig, J.; Markov, I.L.; Hu, J. VLSI Physical Design: From Graph Partitioning to Timing Closure; Springer Science & Business Media: Berlin/Heidelberg, Germany, 2011. [Google Scholar]
  42. Garey, M.R.; Johnson, D.S. Computers and Intractability; Freeman: San Francisco, CA, USA, 1979; Volume 174. [Google Scholar]
  43. Garey, M.R.; Johnson, D.S.; Stockmeyer, L. Some simplified NP-complete problems. In Proceedings of the Sixth Annual ACM Symposium on Theory of Computing, Seattle, WA, USA, 30 April–2 May 1974; pp. 47–63. [Google Scholar]
  44. Viswanathan, N.; Chu, C.N. FastPlace: Efficient analytical placement using cell shifting, iterative local refinement, and a hybrid net model. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2005, 24, 722–733. [Google Scholar] [CrossRef] [Green Version]
  45. Nam, G.J.; Alpert, C.J.; Villarrubia, P.; Winter, B.; Yildiz, M. The ISPD2005 placement contest and benchmark suite. In Proceedings of the 2005 International Symposium on Physical Design, San Francisco, CA, USA, 3–6 April 2005; pp. 216–220. [Google Scholar]
  46. Chan, T.F.; Cong, J.; Shinnerl, J.R.; Sze, K.; Xie, M. mPL6: Enhanced multilevel mixed-size placement. In Proceedings of the 2006 International Symposium on Physical Design, San Jose, CA, USA, 9–12 April 2006; pp. 212–214. [Google Scholar]
  47. Lu, J.; Chen, P.; Chang, C.C.; Sha, L.; Dennis, J.; Huang, H.; Teng, C.C.; Cheng, C.K. ePlace: Electrostatics based placement using Nesterov’s method. In Proceedings of the 51st ACM/EDAC/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, 1–5 June 2014; pp. 1–6. [Google Scholar]
  48. Lu, J.; Chen, P.; Chang, C.C.; Sha, L.; Huang, D.J.H.; Teng, C.C.; Cheng, C.K. ePlace: Electrostatics-based placement using fast fourier transform and Nesterov’s method. ACM Trans. Des. Autom. Electron. Syst. (TODAES) 2015, 20, 1–34. [Google Scholar] [CrossRef]
Figure 1. Archetypal standard-cell design flow.
Figure 1. Archetypal standard-cell design flow.
Information 13 00212 g001
Figure 2. Unbounded bidirectional legalization approach, (a) initial placement (b) connectivity-based legalization (c) unbounded bidirectional legalization.
Figure 2. Unbounded bidirectional legalization approach, (a) initial placement (b) connectivity-based legalization (c) unbounded bidirectional legalization.
Information 13 00212 g002
Figure 3. Grid layout, (a) initial placement, (b) final placement.
Figure 3. Grid layout, (a) initial placement, (b) final placement.
Information 13 00212 g003
Figure 4. HPWL improvement (%) using mPL6 as a global placer.
Figure 4. HPWL improvement (%) using mPL6 as a global placer.
Information 13 00212 g004
Figure 5. Displacement improvement (%) using mPL6 as a global-placer.
Figure 5. Displacement improvement (%) using mPL6 as a global-placer.
Information 13 00212 g005
Figure 6. Execution time improvement (%) using mPL6 as a global-placer.
Figure 6. Execution time improvement (%) using mPL6 as a global-placer.
Information 13 00212 g006
Figure 7. HPWL improvement (%) using ePlace as a global placer.
Figure 7. HPWL improvement (%) using ePlace as a global placer.
Information 13 00212 g007
Figure 8. Displacement improvement (%) using ePlace as a global-placer.
Figure 8. Displacement improvement (%) using ePlace as a global-placer.
Information 13 00212 g008
Figure 9. Execution time improvement (%) using ePlace as a global placer.
Figure 9. Execution time improvement (%) using ePlace as a global placer.
Information 13 00212 g009
Figure 10. HPWL improvement (%) using upon randomly placed design in detailed placement approach.
Figure 10. HPWL improvement (%) using upon randomly placed design in detailed placement approach.
Information 13 00212 g010
Figure 11. Displacement improvement (%) using upon randomly placed design in detailed placement approach.
Figure 11. Displacement improvement (%) using upon randomly placed design in detailed placement approach.
Information 13 00212 g011
Figure 12. Execution time improvement (%) using upon randomly placed design in detailed placement approach.
Figure 12. Execution time improvement (%) using upon randomly placed design in detailed placement approach.
Information 13 00212 g012
Table 1. ISPD’98 benchmark characteristics.
Table 1. ISPD’98 benchmark characteristics.
Design#Cells#I/O Pads#Nets#Pins#Rows
ibm0112,50624614,11150,56696
ibm0219,34225919,58481,199109
ibm0322,85328327,40193,573121
ibm0427,22028731,970105,859136
ibm0528,146120128,446126,308139
ibm0632,33216634,826128,182126
ibm0745,63928748,117175,639166
ibm0851,02328650,513204,890170
ibm0953,11028560,902222,088183
ibm1068,68574475,196297,567234
ibm1170,15240681,454280,786208
ibm1270,43963777,240317,760242
ibm1383,70949099,666357,075224
ibm14147,088517152,772546,816305
ibm15161,187383186,608715,823303
ibm16182,980504190,048778,823347
ibm17184,752743189,581860,036379
ibm18210,341272201,920819,697361
Table 2. ISPD’05 benchmark characteristics.
Table 2. ISPD’05 benchmark characteristics.
Design#Objects#Movable Objects#Fixed Objects#Nets#Pins#Rows
adaptec1211,447210,904543221,142944,053890
adaptec2255,023254,457566266,0091,069,4821170
adaptec3451,650450,927723466,7581,875,0391944
adaptec4496,045494,7161329515,9511,912,4201944
Table 3. Average improvement in core placement metrics.
Table 3. Average improvement in core placement metrics.
ApproachAvg. HPWL Improvement (mPL6)Avg. HPWL Improvement (ePlace)Avg. Displacement Improvement (mPL6)Avg. Displacement Improvement (ePlace)Avg. Runtime Improvement (mPL6)Avg. Runtime Improvement (ePlace)
ub18.13%17.01%36.18%32.72%0.53%0.86%
bd9917.48%16.52%34.858%31.17%−2.02%−29.56%
bd9515.31%14.61%27.15%24.52%−21.76%2.78%
ubd40.36%38.57%−80.25%−86.99%−105.06%−102.99%
bdd9940.32%38.50%−82.35%−88.83%−147.22%−161.25%
bdd9538.74%36.95%−91.09%−96.33%−203.66%−215.67%
ub(2 × 2)43.87%46.13%57.05%57.88%99.22%99.12%
ubd(2 × 2)59.94%62.36%18.57%24.71%99.20%99.03%
ub(4 × 4)61.78%64.06%73.26%74.12%99.54%99.45%
ubd(4 × 4)71.21%73.50%63.39%65.26%99.50%99.38%
ub(8 × 8)72.12%74.07%83.95%84.76%99.72%99.89%
ubd(8 × 8)76.84%78.78%83.97%85.28%99.67%99.60%
ub(16 × 16)77.41%79.09%91.08%91.56%99.81%99.78%
ubd(16 × 16)79.31%81.04%92.98%93.65%99.78%99.73%
Table 4. Detailed results considering HPWL of the dominant legalization variations.
Table 4. Detailed results considering HPWL of the dominant legalization variations.
DesignGP (mPL6)Baseline [1]ub 8 × 8ubd 8 × 8ub 16 × 16ubd 16 × 16
ibm012,073,7208,578,7492,687,4592,268,3772,232,9472,127,129
ibm024,115,53517,088,9575,104,4434,348,6634,328,5674,176,168
ibm035,618,09622,618,1466,934,2486,196,6415,970,2705,764,097
ibm046,959,97223,732,4248,328,1217,522,0727,262,2317,030,794
ibm0510,058,59936,390,12411,862,60710,530,66410,582,86510,163,971
ibm065,735,06422,289,6687,360,5436,551,5926,250,7995,973,455
ibm079,403,11547,913,64712,863,25011,069,73410,653,8589,894,014
ibm0810,188,50754,872,80214,751,30311,639,90311,703,3311,0572,367
ibm0911,095,23054,440,50016,567,56213,836,91113,147,71911,938,413
ibm1019,841,48998,718,69830,091,17624,160,18023,733,08321,170,214
ibm1116,349,96289,133,52823,991,18320,617,18919,454,78517,756,809
ibm1224,204,836139,103,75835,747,74428,500,84028,719,27725,676,673
ibm1319,099,801141,639,55031,222,64425,607,76924,010,72921,529,955
ibm1434,804,692234,332,75357,311,94647,511,22744,773,61439,711,435
ibm1542,097,139309,725,89574,604,46358,926,60256,302,26048,779,650
ibm1645,342,902353,949,14987,668,11966,058,79064,848,1645,4053,562
ibm1762,242,741483,965,502116,968,60482,558,55386,069,78571,817,907
ibm1844,084,573386,787,99486,903,95064,359,38063,517,5635,2543,606
adaptec1103,334,844491,406,30419,1049,903141,001,589148,124,392121,840,413
adaptec2121,481,139684,333,764251,113,848185,450,686178,073,983143,689,629
adaptec3256,734,3721,314,853,028695,749,638472,768,557466,814,791355,400,251
adaptec4230,334,408126,638,919652,205,290457,445,662434,328,800338,347,283
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Dadaliaris, A.; Kranas, G.; Oikonomou, P.; Floros, G.; Dossis, M. Exploiting Net Connectivity in Legalization and Detailed Placement Scenarios. Information 2022, 13, 212. https://doi.org/10.3390/info13050212

AMA Style

Dadaliaris A, Kranas G, Oikonomou P, Floros G, Dossis M. Exploiting Net Connectivity in Legalization and Detailed Placement Scenarios. Information. 2022; 13(5):212. https://doi.org/10.3390/info13050212

Chicago/Turabian Style

Dadaliaris, Antonios, George Kranas, Panagiotis Oikonomou, George Floros, and Michael Dossis. 2022. "Exploiting Net Connectivity in Legalization and Detailed Placement Scenarios" Information 13, no. 5: 212. https://doi.org/10.3390/info13050212

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop