Next Article in Journal
On the Weldability of Thick P355NL1 Pressure Vessel Steel Plates Using Laser Welding
Next Article in Special Issue
Effects of Energy Parameters on Dimensional Accuracy When Joining Stainless-Steel Powders with Heterogeneous Metal Substrates
Previous Article in Journal
Immobilization of Dextranase on Nano-Hydroxyapatite as a Recyclable Catalyst
Previous Article in Special Issue
A Post-Treatment Method to Enhance the Property of Aerosol Jet Printed Electric Circuit on 3D Printed Substrate
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Fabrication of SiC Sealing Cavity Structure for All-SiC Piezoresistive Pressure Sensor Applications

1
Institute of Microelectronics of the Chinese Academy of Science, Beijing 100029, China
2
Kunshan Branch, Institute of Microelectronics of Chinese Academy of Sciences, Suzhou 215347, China
*
Author to whom correspondence should be addressed.
Materials 2021, 14(1), 128; https://doi.org/10.3390/ma14010128
Submission received: 27 November 2020 / Revised: 18 December 2020 / Accepted: 28 December 2020 / Published: 30 December 2020

Abstract

:
High hardness and corrosion resistance of SiC (silicon carbide) bulk materials have always been a difficult problem in the processing of an all-SiC piezoresistive pressure sensor. In this work, we demonstrated a SiC sealing cavity structure utilizing SiC shallow plasma-etched process (≤20 μm) and SiC–SiC room temperature bonding technology. The SiC bonding interface was closely connected, and its average tensile strength could reach 6.71 MPa. In addition, through a rapid thermal annealing (RTA) experiment of 1 min and 10 mins in N2 atmosphere of 1000 °C, it was found that Si, C and O elements at the bonding interface were diffused, while the width of the intermediate interface layer was narrowed, and the tensile strength could remain stable. This SiC sealing cavity structure has important application value in the realization of an all-SiC piezoresistive pressure sensor.

1. Introduction

Nowadays, high temperature pressure sensors have attracted extensive attention and research [1,2,3], and are widely used in many industrial and engineering systems, such as turbine engines, oil well exploration, automotive fields [4,5] and measurement of pressure parameters in high temperature reaction vessels. Si (silicon)-based pressure sensors have reached the level of mature production and application; however, Si has limitations at high temperatures [6,7]. Subsequently, silicon on insulator (SOI) substrate significantly improves temperature resistance of the sensor [8,9]. However, SOI is still a Si substrate, and it is difficult to break through the bottleneck of its temperature resistance, which makes people focus on the realization and application of new materials and new technologies. In recent years, SiC has gradually come into people’s field of vision due to its excellent physical and chemical properties as well as high temperature resistance [10,11]. SiC is a very inert material because of its high bonding energy of Si–C bond, which makes it difficult to be oxidized, and it can withstand chemical corrosion and strong radiation damage in the external environment, and these features make SiC capable of excellent application potential in the environment of high temperature and strong corrosion, hence, all-SiC pressure sensors have been demonstrated to work at temperatures higher than 600 °C [12,13,14]. However, due to its high hardness, that is, three times that of Si material, and stable chemical properties, it is extremely difficult to process microelectronics and MEMS (Micro-Electro-Mechanical System) fabrication technology. For example, the preparation of the sealing cavity structure of the pressure sensor has always been a technological challenge for the realization of an all-SiC piezoresistive pressure sensor, as stated earlier, due to the high hardness and stable chemical properties of SiC. Whether by wet etching or dry etching, it is difficult to accomplish the deep etching of SiC (~300 μm) process, because deep etching will severely increase processing time (several hours), or is even impractical to conduct for hundreds of micrometers etching depth, also can cause scratches and poor uniformity of thin film thickness [12,15]. In the wet etching process, there is electrochemical corrosion [16], which is difficult to control with the etching rate and film flatness, and it is easy to cause lateral etching and shape distortion. In this regard, in recent years, many processing methods for SiC pressure sensor cavity structure have emerged, such as mechanical milling, laser scribing, ultrasonic drilling, etc. [17,18,19]. While these methods can improve the processing efficiency of the SiC cavity, it is difficult to ensure the flatness of the cavity surface and the uniformity of the diaphragm, which directly affect the working reliability of the pressure sensor and the overall performance of the device.
According to the above research status, we propose a processing method to achieve the sealing cavity structure for an all-SiC piezoresistive pressure sensor. The schematic diagram of the device is shown in Figure 1. In brief, a SiC diaphragm would be bonded onto a SiC wafer with shallow grooves using room temperature bonding technology (~23 °C), followed by force-sensing resistors and metal electrode fabrication. The force sensing resistors are located on the pressure diaphragm for sensing external test pressure and obtained by the plasma-etching process, and the electrode structure of the device is prepared through a metallization process. The structure is used for connecting the force sensing resistors with external test circuit. The SiC diaphragm is made by mechanical thinning and polishing the side of the nonepitaxial layer of the SiC epitaxial wafer. The SiC wafer with shallow grooves is obtained by inductively coupled plasma (ICP) shallow etching process (~20 μm). Notably, the shallow etching process of SiC can help avoid the time-consuming and laborious deep etching process and can obtain a cavity structure with a flat bottom surface. Moreover, the etch depth can be shallower, thus enabling the shallow grooves to function as overload protection during operation. The SiC thinning and polishing process is compatible with the existing semiconductor process, which is beneficial to improving wafer processing efficiency. In recent years, there has been much research and many breakthroughs on the SiC thinning and polishing process [20,21,22,23]. By optimizing the SiC thinning and polishing process, the whole wafer can achieve higher flatness and film thickness uniformity, so as to achieve the overall uniformity and consistency of hundreds of small diaphragms. For the method of SiC bonding, Yushin et al. have realized direct SiC bonding by loading pressure of 20 MPa in a vacuum environment of 800–1100 °C for 15 h [24] This method has the problem of high energy consumption. In addition, SiC bonding can use intermediate layers, such as Ni metal and glass, but the introduction of the medium layer can easily cause the mismatch of thermal expansion coefficients of different materials [25,26,27]. In our method, using a SiC–SiC room temperature bonding technology can help effectively avoid the material property mismatch problem and the energy consumption caused by a high temperature bonding process.
In previous research, we have successfully reduced the surface roughness of the outer cavity area, namely the bonding area, to less than 0.2 nm, by optimizing the process method of SiC cavity plasma-etching process [28]. The realization of this step optimization process has laid a foundation for the implementation of a SiC–SiC room temperature bonding process. In this work, we use the previously optimized etching process to prepare a cavity structure and utilize SiC–SiC room temperature bonding technology to bond the SiC cavity wafer with Si-face of an unprocessed SiC wafer instead of a SiC epitaxial wafer to form a sealing cavity structure. The experiment could serve as a demonstration of the proposal. By investigating a scanning acoustic image of the bonding interface and its morphology of the cross section, we determined that the two wafers were closely bonded. Furthermore, tensile strength of the bonding interface was tested, and the average bonding strength reached 6.71 MPa. It is well known that high temperature annealing is a necessary process for preparing device ohmic contact electrodes. The ohmic contact process of SiC usually goes through a high temperature environment of about 1000 °C for 1 min in N2 atmosphere. In order to further characterize the practicability and reliability of the sealing cavity, the bonded samples were subjected to a rapid thermal annealing (RTA) experiment at 1000 °C for 1 min in N2 atmosphere, and it was found that the intermediate transition layer was significantly narrowed. Furthermore, we extended the annealing time to 10 mins and found that the intermediate lattice became more ordered. It is essential that the bonding strength of the sample bonding interface was not affected by the two annealing conditions. The results proved the feasibility of the cavity application for the pressure sensor.

2. Experimental Procedures

Two pieces of 4-in. 4° off-axis 4H-SiC wafers with thickness of about 350 μm were used in this experiment, one as a substitute for a SiC epitaxial wafer, and one to attain SiC cavity structure through the ICP shallow etching process. The wafer surface roughness RMS (root mean square) is less than or equal to 0.2 nm by referring to the wafer parameter information. The cavity pattern is circular, and the diameter size was set as 1 cm and 0.5 cm. The patented wafer is shown in Figure 2b, no cavity structure was included. The cavity etching depth was about 20 μm. In our previous experiment, it was proved that when ICP etching uses conventional metal masks, after the mask is finally peeled off, there would be residual contamination in the area. However, this is very detrimental to the room temperature bonding process, since large roughness and particle containment could cause unbonded areas. So here, as shown in Figure 2a, we used SiO2 and Ni as the etching mask, according to our previous experiment method [28], which has optimized the roughness of the surface outside the cavity, that is, the bonding area, to less than 0.2 nm. First, a thickness of 100 nm SiO2 and 500 nm Ni were deposited on a SiC wafer. Second, the pattern of the cavity area was obtained by a photolithography process. After that, plasma-etching and wet etching were used for SiO2 and Ni layers, respectively, and then the SiC etching area was exposed. Subsequently, the SiC wafer was etched using SF6 and O2 gas by ICP-RIE (Reactive Ion Etching) etching process. Finally, SiO2 and Ni were corroded by the wet method. Detailed mask removal steps and cleaning methods can be seen in our previous study [28]. After the cavity wafer was obtained, an Ar-FAB beam was utilized in a supervacuum to remove contamination and oxide layer adhered on the two SiC surfaces. The wafers were then bonded to each other directly at room temperature under 20 MPa. Finally, the bonding wafer was cut into squares of 1.5 cm × 1.5 cm to facilitate the following tests, as shown in Figure 2b. Some of the small samples were annealed at 1000 °C in N2 atmosphere for 1 min and 10 mins, respectively.
In order to determine whether there are unbonded areas, the bonding interface was analyzed with scanning acoustic microscopy (SAM, PVA TePla, Wettenberg, Germany). Cross section interface morphology observation was characterized by scanning electron microscope (SEM, SU8200, Tokyo, Japan), transmission electron microscopy (TEM, FEI Talos, Hillsboro, OR, USA) and EDX line scanning analysis across the bonding interface before and after the annealing process. In addition, we tested the tensile strength of the bonded wafers by MFM1500 (TRY Precision, Shenzhen, China).

3. Results and Discussion

3.1. Characterization of Bonding Interface before Annealing

Figure 3 describes the SAM image of the SiC bonded wafer, which shows that the majority of the wafer area was bonded very well except for sporadic little voids and the edge area. By analyzing the experimental process, the voids may arise from particle contaminations. Figure 4a is the optical microscope image of the bonding interface cross section of the bonded sample with a cavity diameter of 1 cm, and the measured diameter was 9774.34 μm. It is difficult to ensure that the sample is ground to the standard diameter, so there was some error from the standard diameter value of 1 cm. Figure 4b is the SEM image of the bonding interface section of the sample, adjacent images Figure 4c,d are the local magnification images. In Figure 4c, the blue dotted line box is the bonding interface area, where we cannot see obvious traces of the bonding interface. The measured cavity height was about 19.5 μm, which is consistent with the 20 μm depth of cavity etching in the ICP process. From Figure 4b, it can be seen that there was some contamination in the gap of the cavity, which was produced during the grinding and polishing of the sample cross section.
In order to further investigate the bonding properties of the bonding interfaces, a TEM cross-sectional image of the wafer bonding interface is illustrated in Figure 5a and its enlarged image, where no visible voids or cracks appear. At the interface, a bright seamless intermediate layer of about 8 nm should be amorphous because it has no lattice fringes and is distinct from adjacent crystalline phase. From data by previous studies, amorphous layer formation was caused by Ar-FAB bombardment [29,30]. Accordingly, EDX liner mapping of Si, C and O elements distribution of a small sample is shown in Figure 5b, the analysis location and range are roughly shown as the yellow dotted line in Figure 5a. It can be seen that there is a peak of O element at the bonding interface, because there was residual O element on the two wafer surfaces after the Ar plasma bombardment process. Additionally, Si and C spectra have remarkable troughs, the position of the troughs correspond to the position of the peak of element O, that is, the position of the intermediate layer of the bonding interface.
To characterize the bonding strength of the bonding interface, a tensile test was performed. As shown in the illustrations in the upper left corner of Figure 6, the two illustrations are the physical image and section diagram of the fixture for the tensile test, respectively. Before the test, two metal blocks were glued to the two sides of the bonded sample, as shown in the illustration in the upper right corner of Figure 6. The metal block on the right was fixed by fixture, at which time a horizontal tensile force to the left was applied to the other metal block. As shown in the test curve in Figure 6, tension force increased with the increase of time, and the bonded interface separated at the moment of maximum tension, and the value was 130.838 kg. The tested sample was a chip with cavity diameter of 1 cm and its bonding area was 1.465 cm2. Tensile strength can be calculated by:
σ t f = F A
where F is the maximum force that enables the bonding interface to cause separation, and A is total bonding area. Therefore, we could obtain a tensile strength of 8.75 MPa. The insert in the lower right corner of Figure 6 shows the appearance of the bonding interface after separation. We can see that the two surfaces after separation were relatively smooth, which means that the fracture surface of the sample basically occurred at the bonding interface or near it. In the same way, we tested eight samples including a large cavity, a small cavity and no cavity structure, and obtained an average value of 6.71 MPa. To our best knowledge, the minimum bonding strength recommended in MEMS device manufacturing is 4–5 MPa [31]. It is enough to show that the application of our experiment to the pressure sensor has very good feasibility.

3.2. Characterization of Bonding Interface after Annealing

Generally, ohmic contact structure should be prepared during the process of fabricating device electrodes. Conventional SiC ohmic contact preparation often needs to experience around 1000 °C high temperature environment for ~1 min. In order to prove the reliability of the cavity structure in the high temperature annealing process, two samples were placed in a rapid annealing furnace in N2 atmosphere of 1000 °C for 1 min. One sample was used to test the change of bonding interface properties, and the other sample was used to test tensile strength. Figure 7a shows the TEM image of the bonding interface after the sample underwent the RTA process. It can be clearly seen that the intermediate transition layer of the bonding interface became significantly narrower, almost less than 2 nm. In addition, tensile strength of another sample was measured at 9.33 MPa, indicating that the metallization annealing condition did not make bonding interface characteristics worse, instead, the width of the intermediate layer of the bonding interface was optimized. Figure 7b is the EDX analysis curve at the position marked by the yellow dotted line in Figure 7a. It can be seen that the fluctuation of Si, C and O elements at the middle interface layer disappeared, and O element diffused to the SiC side during the annealing process, C and Si element at the middle interface layer were also compensated. The reduction of intermediate thickness may be caused by densification of the intermediate layer and the outward diffusion of elements such as O.
Further, we increased the annealing time to 10 mins. In this experiment, TEM characterization and tensile strength testing were also performed for two samples. As shown in Figure 8a, the intermediate transition layer became narrower and fuzzier, with a width of almost less than 1 nm. Compared with the annealing experiment of 1 min, the proportion of O element seemed smaller, as shown in Figure 8b. This indicates that the diffusion of elements and densification degree of the intermediate layer become higher with the increase of annealing time. Via another sample, we obtained its tensile strength of 10.56 MPa. In brief, the diffusion of the element and the width of the interfacial layer were found by the RTA experiment. The sample can still maintain excellent bond strength after annealing.

4. Conclusions

Through SiC–SiC room temperature bonding technology combined with a SiC ICP shallow etching process, the preparation of a sealing cavity structure which can be applied to all-SiC piezoresistive pressure sensors was realized. SAM images demonstrate that the bonding surface interface produced only sporadic small voids. Moreover, the morphology and EDX line analysis of the bonding interface were characterized by TEM, which proves that the bonding interface was tightly bound. Average tensile strength of the bonding interface could reach 6.71 MPa. Through the experiment of RTA for 1 min and 10 mins in N2 atmosphere of 1000 °C, we found that Si, C and O elements diffused, and the width of the intermediate interface layer became narrower while maintaining excellent bonding strength, which indicates that the prepared sealing cavity structure had good stability in high temperature processing conditions, and the bonding interface could be optimized by increasing the high-temperature treatment time. As a result, the prepared SiC sealing cavity structure has great potential in the application of all-SiC pressure sensors.

Author Contributions

Conceptualization, H.S. and W.W.; methodology, H.S., D.W. and Y.L.; software, B.T.; formal analysis, L.Z.; investigation, L.Z.; data curation, L.Z.; Writing—Original draft preparation, L.Z.; Writing—Review and editing, H.S.; supervision, H.S. and W.W.; project administration, H.S. and W.W.; funding acquisition, W.W. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by National Key Research and Development Project [Grant Number 2018YFB2002700] and Science Foundation of the Chinese Academy of Science [Grant Number 201510280052 XMXX201200019933].

Data Availability Statement

The data presented in this study are available on request from the corresponding author.

Conflicts of Interest

The authors declare no conflict of interests.

References

  1. Seo, Y.; Kim, D.; Hall, N.A. On-diaphragm thermistor for high-temperature dynamic pressure sensors. IEEE Sens. J. 2020, 20, 2287–2293. [Google Scholar] [CrossRef]
  2. Yang, C.; Maimaitiyiming, X.; Mi, H. High temperature sensitivity pressure sensors based on filter paper as a mold. J. Electrochem. Soc. 2019, 166, B1286–B1292. [Google Scholar] [CrossRef]
  3. Zhang, J.; Lei, Y.; Chen, Y.; Chuan, H. 3D printing of all-glass fiber-optic pressure sensor for high temperature applications. IEEE Sens. J. 2019, 19, 11242–11246. [Google Scholar] [CrossRef] [PubMed]
  4. Phan, H.P.; Dao, D.V.; Nakamura, K.; Dimitrijev, S.; Nguyen, N.-T. The piezoresistive effect of SiC for MEMS sensors at high temperatures: A review. J. Microelectromech. Syst. 2015, 24, 1663–1677. [Google Scholar] [CrossRef] [Green Version]
  5. Jiang, X.; Kim, K.; Zhang, S.; Johnson, J.; Salazar, G. High-temperature piezoelectric sensing. Sensors 2013, 14, 144–169. [Google Scholar] [CrossRef] [Green Version]
  6. Wijesundara, M.B.J.; Azevedo, R.G. Silicon Carbide Microsystems for Harsh Environments; Springer: New York, NY, USA, 2011; pp. 129–132. [Google Scholar]
  7. Fahrner, W.R.; Job, R.; Werner, M. Sensors and smart electronics in harsh environment applications. Microsyst. Technol. 2001, 7, 138–144. [Google Scholar] [CrossRef]
  8. Giuliani, A.; Drera, L.; Arancio, D.; Mukhopadhyay, B.; Ngo, H.D. SOI-based, high reliable pressure sensor with floating concept for high temperature applications. Procedia Eng. 2014, 87, 720–723. [Google Scholar] [CrossRef] [Green Version]
  9. Li, C.; Zhao, L.; José, L.; Cordovilla, F.O.; Yin, Z. Characterization and analysis of a novel structural SOI piezoresistive pressure sensor with high sensitivity and linearity. Microsyst. Technol. 2020, 26, 2955–2960. [Google Scholar] [CrossRef]
  10. Jiang, L.; Cheung, R. A review of silicon carbide development in MEMS applications. Int. J. Comput. Mater. Sci. Surf. Eng. 2009, 2, 227–242. [Google Scholar] [CrossRef] [Green Version]
  11. Nguyen, T.-K.; Phan, H.P.; Han, J.; Dinh, T.; Foisal, A.R.M.; Dimitrijev, S.; Zhu, Y.; Nguyen, N.-T.; Dao, D.V. Highly sensitive p-Type 4H-SiC van der Pauw sensor. RSC Adv. 2018, 8, 3009–3013. [Google Scholar] [CrossRef] [Green Version]
  12. Okojie, R.S.; Howard, R.T.; Richards, R.D. Stable 600 °C silicon carbide MEMS pressure transducers. Proc SPIE Int. Soc. Opt. Eng. 2007, 6555, 6550V. [Google Scholar]
  13. Okojie, R.S.; Lukco, D.; Nguyen, V.; Savrun, E. 4H-SiC piezoresistive pressure sensors at 800 ℃ with observed sensitivity recovery. IEEE Electron Device Lett. 2015, 36, 174–176. [Google Scholar] [CrossRef]
  14. Jin, S.; Rajgopal, S.; Mehregany, M. Characterization of poly-sic pressure sensors for high temperature and high pressure applications. Mater. Sci. Forum 2012, 717–720, 1211–1214. [Google Scholar] [CrossRef]
  15. Tang, F.; Ma, X.; Wang, X. A study on the deep etching and ohmic contact process of 6H-SiC high-temperature pressure sensor. Proc. Inst. Mech. Eng. Part J. Nanoeng. Nanosyst. 2013, 229, 23–27. [Google Scholar] [CrossRef]
  16. Okojie, R.S.; Ned, A.A.; Kurtz, A.D. Operation of α(6H)-SiC pressure sensor at 500 °C. Sens. Actuators A Phys. 1998, 66, 200–204. [Google Scholar] [CrossRef]
  17. Akiyama, T.; Briand, D.; Rooij, N.F.D. Piezoresistive n-type 4H-SiC pressure sensor with membrane formed by mechanical milling. In Proceedings of the 2011 IEEE Sensors, Limerick, Ireland, 28–31 October 2011; pp. 222–225. [Google Scholar]
  18. Nguyen, T.K.; Phan, H.P.; Dinh, T.; Dowling, K.M.; Foisal, A.R.M.; Senesky, D.G.; Nguyen, N.; Dao, D.V. Highly sensitive 4H-SiC pressure sensor at cryogenic and elevated temperatures. Mater. Des. 2018, 156, 441–445. [Google Scholar] [CrossRef]
  19. Wieczorek, G.; Schellin, B.; Obermeier, E. SiC Based Pressure Sensor for High-Temperature Environments. In Proceedings of the 2007 IEEE Sensors, Atlanta, GA, USA, 28–31 October 2007; pp. 748–751. [Google Scholar]
  20. Pan, G.; Zhou, Y.; Luo, G.; Shi, X.; Zou, C.; Gong, H. Chemical mechanical polishing (CMP) of on-axis Si-face 6H-SiC wafer for obtaining atomically flat defect-free surface. J. Mater. Sci. Mater. Electron. 2013, 24, 5040–5047. [Google Scholar] [CrossRef]
  21. Shi, X.; Pan, G.; Zhou, Y.; Gu, Z.; Gong, H.; Zou, C. Characterization of colloidal silica abrasives with different sizes and their chemical–mechanical polishing performance on 4H-SiC (0001). Appl. Surf. Sci. 2014, 307, 414–427. [Google Scholar] [CrossRef]
  22. Aida, H.; Doi, T.; Takeda, H.; Katakura, H.; Uneda, M. Ultraprecision CMP for sapphire, gan, and SiC for advanced optoelectronics materials. Curr. Appl. Phys. 2012, 12, S41–S46. [Google Scholar] [CrossRef]
  23. Chen, X.; Xu, X.; Li, J.; Jiang, S.; Ning, L.; Wang, Y.; Ma, D.; Hu, X.; Jiang, M. Surface polishing of 6H-SiC substrates. J. Mater. Sci. Technol. 2007, 23, 430–432. [Google Scholar]
  24. Yushin, G.N.; Kvit, A.V.; Sitar, Z. Transmission electron microscopy studies of the bonded SiC-SiC interface. J. Mater. Sci. 2005, 40, 4369–4371. [Google Scholar] [CrossRef]
  25. Mu, F.; Uomoto, M.; Shimatsu, T.; Wang, Y.; Iguchi, K.; Nakazawa, H.; Takahashi, Y.; Higurashi, E.; Suga, T. De-bondable SiCSiC wafer bonding via an intermediate Ni nano-film. Appl. Surf. Sci. 2019, 465, 591–595. [Google Scholar] [CrossRef]
  26. Chung, G.S.; Maboudian, R. Bonding characteristics of 3C-SiC wafers with hydrofluoric acid for high-temperature MEMS applications. Sens. Actuators A Phys. 2005, 119, 599–604. [Google Scholar] [CrossRef]
  27. Veggel, A.A.V.; Ende, D.V.D.; Bogenstahl, J.; Rowan, S.; Cunningham, W.; Gubbels, G.H.M.; Nijmeijer, H. Hydroxide catalysis bonding of silicon carbide. J. Eur. Ceram. Soc. 2008, 28, 303–310. [Google Scholar] [CrossRef] [Green Version]
  28. Zhao, L.; Shang, H.; Wang, D.; Liu, Y.; Xue, M.; Yu, J.; Wang, W. Surface cleaning process for plasma-etched SiC wafer. Appl. Phys. A Mater. Sci. Process 2020, 126, 617. [Google Scholar] [CrossRef]
  29. Mu, F.; Iguchi, K.; Nakazawa, H.; Takahashi, Y.; Fujino, M.; Suga, T. Direct Wafer Bonding of SiC-SiC by SAB for Monolithic Integration of SiC MEMS and Electronics. ECS J. Solid State Sci. Technol. 2016, 5, 451–456. [Google Scholar] [CrossRef]
  30. Mu, F.; Fujino, M.; Suga, T.; Takahashi, Y.; Nakazawa, H.; Iguchi, K. A comparison study: Direct wafer bonding of SiC–SiC by standard surface-activated bonding and modified surface-activated bonding with Si-containing Ar ion beam. Appl. Phys. Express 2016, 9, 081302. [Google Scholar] [CrossRef]
  31. Mills, D.A.; Alexander, D.; Subhash, G.; Sheplak, M. Development of a sapphire optical pressure sensor for high-temperature applications. Int. Soc. Opt. Photonics 2014, 9113, 91130H. [Google Scholar]
Figure 1. A simplified diagram of an all-SiC piezoresistive pressure sensor.
Figure 1. A simplified diagram of an all-SiC piezoresistive pressure sensor.
Materials 14 00128 g001
Figure 2. (a) SiC cavity fabrication procedure and modification process of bonding surfaces by Ar-FAB; (b) cavities distribution on the 4-in. bonding wafer.
Figure 2. (a) SiC cavity fabrication procedure and modification process of bonding surfaces by Ar-FAB; (b) cavities distribution on the 4-in. bonding wafer.
Materials 14 00128 g002
Figure 3. SAM image of the bonding wafer.
Figure 3. SAM image of the bonding wafer.
Materials 14 00128 g003
Figure 4. (a) Optical microscope image of the bonding interface cross section of the bonded sample with cavity diameter of 1 cm; (b) SEM image of the bonding interface section of the sample at low magnification; (c,d) are the local magnifications of the rectangular in (a).
Figure 4. (a) Optical microscope image of the bonding interface cross section of the bonded sample with cavity diameter of 1 cm; (b) SEM image of the bonding interface section of the sample at low magnification; (c,d) are the local magnifications of the rectangular in (a).
Materials 14 00128 g004
Figure 5. (a) Cross-sectional TEM micrographs of the bonding interface; (b) EDX line scanning analysis of the interface.
Figure 5. (a) Cross-sectional TEM micrographs of the bonding interface; (b) EDX line scanning analysis of the interface.
Materials 14 00128 g005
Figure 6. Tensile test curve of the bonding wafer. The upper left inserts show the physical and sectional view of the test fixture, and the lower right insert shows the wafer after separation.
Figure 6. Tensile test curve of the bonding wafer. The upper left inserts show the physical and sectional view of the test fixture, and the lower right insert shows the wafer after separation.
Materials 14 00128 g006
Figure 7. (a) Cross-sectional TEM micrographs of the bonding interface after annealing at 1000 °C for 1 min in N2 atmosphere; (b) EDX line scanning analysis of the interface.
Figure 7. (a) Cross-sectional TEM micrographs of the bonding interface after annealing at 1000 °C for 1 min in N2 atmosphere; (b) EDX line scanning analysis of the interface.
Materials 14 00128 g007
Figure 8. (a) Cross-sectional TEM micrographs of the bonding interface after annealing at 1000 °C for 10 min in N2 atmosphere; (b) EDX line scanning analysis of the interface.
Figure 8. (a) Cross-sectional TEM micrographs of the bonding interface after annealing at 1000 °C for 10 min in N2 atmosphere; (b) EDX line scanning analysis of the interface.
Materials 14 00128 g008
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Zhao, L.; Shang, H.; Wang, D.; Liu, Y.; Tian, B.; Wang, W. Fabrication of SiC Sealing Cavity Structure for All-SiC Piezoresistive Pressure Sensor Applications. Materials 2021, 14, 128. https://doi.org/10.3390/ma14010128

AMA Style

Zhao L, Shang H, Wang D, Liu Y, Tian B, Wang W. Fabrication of SiC Sealing Cavity Structure for All-SiC Piezoresistive Pressure Sensor Applications. Materials. 2021; 14(1):128. https://doi.org/10.3390/ma14010128

Chicago/Turabian Style

Zhao, Lihuan, Haiping Shang, Dahai Wang, Yang Liu, Baohua Tian, and Weibing Wang. 2021. "Fabrication of SiC Sealing Cavity Structure for All-SiC Piezoresistive Pressure Sensor Applications" Materials 14, no. 1: 128. https://doi.org/10.3390/ma14010128

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop