Next Article in Journal
Interfacial Polarization of Thin Alq3, Gaq3, and Erq3 Films on GaN(0001)
Previous Article in Journal
Fine Piercing of Amorphous Electrical Steel Sheet Stack by Micro-/Nano-Textured Punch
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Investigation of Warpage for Multi-Die Fan-Out Wafer-Level Packaging Process

Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China
*
Authors to whom correspondence should be addressed.
These authors contributed equally to this work.
Materials 2022, 15(5), 1683; https://doi.org/10.3390/ma15051683
Submission received: 15 December 2021 / Revised: 15 February 2022 / Accepted: 22 February 2022 / Published: 23 February 2022

Abstract

:
This paper focuses on characterizing the evolution of warpage, effects of epoxy molding compound (EMC), and effects of carrier 2 (the second carrier in the process) of 12 inch RDL-first multi-die fan-out wafer-level packaging (FOWLP) during the manufacturing process. The linear viscoelasticity properties of EMC and polyimide (PI) were characterized using dynamic mechanical analysis (DMA) in the frequency domain at different temperatures., The elastic and viscoelastic model were used for PI and EMC, the finite element analyses (FEA) of the cured structure were carried out and the results were compared with the test results. The viscoelastic properties of the EMC in the FEA could predict the wafer warpage more accurately. The FEA and experiments were used to investigate the evolution of warpage. The molding had a great influence on the warpage. The effects of the EMC and carrier 2 were also investigated with FEA. The wafer warpage could be reduced by lowering the thickness of the EMC, increasing the thickness of carrier 2, and selecting EMC and carrier 2 with a matched coefficient of thermal expansion (CTE).

1. Introduction

In recent years, consumer electronics, such as smart mobile devices, 5G, artificial intelligence, etc., have witnessed explosive growth. Consumers have increasingly higher requirements for electronic products, such as small size, low cost, high performance, and low power consumption. As Moore’s law is slowing down, the international technology roadmap for semiconductors (ITRS) has defined the two major technical routes for parallel development: more Moore and more than Moore [1]. More Moore refers to the use of new manufacturing techniques to promote Moore’s Law. More than Moore refers to the optimization of integrated circuits by relying on new circuit designs and system algorithms. Heterogeneous and homologous integration through the system in package (SiP) is one of the most important technologies for more than Moore due to its low cost and appropriate technical challenges. It can meet the requirements of consumers for electronic products, so it has been widely investigated [2,3].
Currently, advanced technologies to implement SiP include 2.5D [4] and 3D [5] packaging, but their cost, reliability, thermal management, and assembly are all facing great challenges. The fan-out wafer-level packaging (FOWLP) is a very good solution that can satisfy the needs for greater feasibility and enabling SiP. To overcome the problem wherein the number of pins is limited by the chip area, Infineon Technologies first developed the FOWLP known as embedded wafer-level ball grid array (eWLB) [6]. Except for eWLB, some other types of fan-out packaging, such as panel-level fan-out packaging [7], silicon wafer integrating fan-out technology [8], and integrated fan-out technology [9], have been developed. According to the different embedded materials, FOWLP can be divided into three types: embedded substrate technology, embedded molding compound technology, and embedded other structure technology. The FOWLP embedded in molding compounds can be divided into molding first and redistribution layer (RDL) first [10]. As chips are embedded in other materials, such as molding compounds, warpage is inevitable during the process due to cured-induced shrinkage and mismatch of the coefficient of thermal expansion (CTE) among materials and chips [11,12]. Warpage is a critical issue to subsequent processes because of misalignment and difficulty in handling, such as RDL, ball placement, and wafer singulation. Moreover, serious warpage will degrade the reliability and even lead to serious failures such as delamination and breakage. For the large-sized wafer-level process and panel-level process, the warpage is particularly serious. Several studies have been conducted on the research and control of the warpage of FOWLP.
Deng et al. [13] studied the effects of the thermal and chemical shrinkage of EMC on the warpage of FOWLP after the curing process through theoretical and experimental analysis. The viscoelastic property of the EMC was not considered. Liu et al. [14] used the approaches of CTE balance to adjust the warpage of the panel. Yeh et al. [15] studied the warpage of a reconstructed molded wafer during the curing process with FEA. The results showed that the curing process conditions have a great influence on the warpage. The viscoelastic property of the molding material was considered. Takekoshi et al. [16] studied the effects of composing a material combination of an RDL-first-type FOWLP with various die occupancy ratios during the fabrication processes on warpage through both experiments and numerical simulation. The results showed that the warpage could be controlled within 1 mm during all the fabrication process steps. Lau et al. [17] studied the warpage of a chip-first FOWLP during the processes with experiments and FEA. The FOWLP had three redistributed layers with a very large die. Cheng et al. [18] characterized the warpage evolution of FOWLP during the wafer-level molding process with a simulation methodology. The effects of thermal annealing and wafer thinning on the warpage were explored. The viscoelastic property of the EMC was characterized using DMA and was considered in FEA. Chen et al. [19] developed a wafer-level warpage model for the 12 inch mold-first-type FOWLP with the model change technique. The predicted warpage results showed a good correlation with the experimental results. The viscoelastic properties of EMC and dielectrics were considered in the model and found to be critical for warpage prediction. In the above studies, the effects of EMC properties, structural dimensions, and processes on warpage under a single die were studied. Except for reference [19], other studies only consider the viscoelastic property of EMC.
In this paper, the multi-die FOWLP structure and process are introduced. Then, the viscoelastic properties of EMC and PI are measured. The simulation warpage using viscoelastic and elastic properties, respectively, for a 12 inch RDL-first FOWLP is compared with the measured warpage to evaluate the accuracy of the viscoelastic properties. Finally, the evolution of warpage is investigated with FEA and experiments, and the effects of EMC and carrier 2 are investigated with FEA.

2. Structure and Manufacturing Process of the FOWLP

2.1. Structure

The fan-out packaging studied in this paper contains three dies, three layers of RDL, and three layers of PI, as shown in Figure 1. The die size is shown in Table 1 and the package size is 12 mm× 12 mm. The thickness of the interconnection is shown in Table 2.

2.2. Process

In this paper, the FOWLP was manufactured using a 12 inch wafer with the RDL-first process. To control the warpage of the wafer during the process, the carrier was used twice, and was named carrier 1 and carrier 2, respectively. The FOWLP manufacturing process is shown in Figure 2b. (1) A layer of 3 μm SiO2 was deposited on the silicon wafer (carrier 1). (2) SiO2 was etched by dry etching to form a route groove and 2 μm RDL 1 was manufactured in SiO2. Then, (3) and (4), 5 μm PI 1, 4 μm RDL 2, and 7 μm PI 2 were made. (5) Bumps were made and dies were bonded with bumps. (6) The bottom of each die was filled with underfill and EMC was molded with a thickness of 500 μm; then, the EMC was ground to 250 μm. (7) Carrier 2 was bonded and carrier 1 was released with a silicon-less process. The material of carrier 2 could be silicon or glass. (8) Then, 5 μm RDL 3 and 8 μm PI 3 were made. (9) UBM was made and solder paste was printed to form balls with reflow. (10) Carrier 2 was released. The interconnect line and bump were copper in the above process.

3. Material Properties Characterization

3.1. Linear Viscoelasticity

In FEA, EMC is often simulated as an elastic material. However, as a polymer, EMC usually has viscoelastic properties, meaning that its modulus is not only related to temperature but also related to loading, such as the strain rate. Viscoelasticity obeys the time–temperature superposition (TTS) principle. According to TTS, the curves of modulus at different temperatures can be translated to generate the master curve at a specific temperature to predict the modulus of materials beyond the measured frequency. The temperature shift factor is defined as follows:
α T = f T f T ref ,
where the fT is the frequency after translation according to TTS at temperature T; fTref is the frequency at reference temperature Tref. The shifting factor of the polymer can usually be fitted into the Williams–Landel–Ferry (WLF) equation as follows:
log α T = C 1 ( T T ref ) C 2 + T T ref ,
where T is the temperature, and Tref is the reference temperature. C1 and C2 are constants for a particular polymer.
The mechanical behavior of linear viscoelasticity can be described by the following constitutive equation:
σ t = t E t τ d e d t d ,
where σ is the stress; e is the strain; E(t) is the modulus.
In the literature, the generalized Maxwell model is a linear viscoelastic model with high accuracy and wide application. The modulus E(t) is usually expressed by the Prony series form of order n, as shown in Equation (4), and it is expressed as Equation (5) in the frequency domain. E’ and E” are the storage modulus and loss modulus, respectively, which are expressed as Equations (6) and (7) [20].
E ( t ) = E + i = 1 n E i exp t / τ i ,
E * j ω = E ω + j E " ω ,
E ( ω ) = E + i = 1 n E i ( ω τ i ) 2 1 + ( ω τ i ) 2 ,
E ( ω ) = i = 1 n E i ω τ i 1 + ( ω τ i ) 2 ,
where τi is the relaxation times, ei is the Prony coefficients, ω is the angular frequency (2πf), and E is the long-term (tensile) modulus.
The storage modulus and loss modulus at different temperatures and frequencies are measured and then translated according to TTS. By fitting the translated modulus, the characterization of the storage modulus and loss modulus in the form of Equations (6) and (7) is obtained.

3.2. Property Characterization

The EMC for fan-out packaging was cured on a 12 inch silicon wafer, and the conditions of curing are shown in Table 3. Then, the test samples with dimensions of 35 mm × 9.9 mm × 0.5 mm were made. The DMA system Q800 (TA Instruments, New Castle, DE, USA) was used to measure the viscoelasticity of the cured EMC in a single cantilever clamp with multi-frequency–strain mode. The temperature was 25–200 °C, the heating rate was 5 °C/min, the initial preloading amplitude was 20 μm, and the frequencies were 1, 3, 6, 10, 30 Hz.
Figure 3 shows the measurement results of DMA at 1 Hz. The storage modulus decreases with the increase in temperature, and the loss modulus increases first and then decreases. The ratio of loss modulus to storage modulus is defined as the loss tangent (tan δ). The peak of tan δ results from glass relaxation occurring in the EMC, and the glass transition temperature (Tg) is defined as the temperature corresponding to the maximum in tan δ. Therefore, the Tg of the EMC is 143 °C, as shown in Figure 3.
Figure 4 shows the storage modulus and loss modulus of EMC at different frequencies and temperatures, as measured by DMA. At the reference temperature of 140 °C, the translation factor is achieved by translating the curves at different temperatures according to TTS and Equation (1). The parameters C1 and C2 in the WLF equation are achieved by fitting the translation factor, as shown in Figure 5a. The master curve generated by translating the curves in Figure 4 was fitted into a generalized Maxwell model, as shown in Figure 5b. The fitting Prony series coefficients Ei and τi of EMC are listed in Table 4. The relative modulus αi is required in Ansys and was calculated as follows:
α i = E i / E 0
E 0 = E + i = 1 n E i ,
As a polymer, PI can be characterized by viscoelastic properties. In this study, a PI for wafer-level packaging was measured. The curing conditions for the 12 inch wafer are shown in Table 5. The size of the sample was 30 mm × 9.2 mm × 0.01 mm. Because the sample was a film, the film tensile fixture was used. The frequencies were 3, 6, 10, 30 Hz, the temperature was 25–260 °C, the heating rate was 3 °C/min, and the initial preloading amplitude was 20 μm. At the reference temperature of 195 °C, the translation factor is achieved by translating the curves at different temperatures according to TTS and Equation (1). The parameters C1 and C2 in the WLF equation are achieved by fitting the translation factor, as follows:
log α T = 1.23765 e 15 ( T - 195 ) 1.75325 e 16 + T - 195 ,
The master curve generated by translating the curves at different temperatures was fitted into a generalized Maxwell model, as shown in Figure 6. The fitting Prony series coefficients of EMC are listed in Table 6.

3.3. Property Verification

In order to verify the accuracy of the PI and EMC viscoelastic models, the finite element analysis of the cured structure was carried out by using the PI and EMC elastic model and viscoelastic model, respectively, and the results were compared with the test results. In this study, the shell element was used. To improve the efficiency, a 1/4 model was established, as shown in Figure 7. The elastic properties of materials used in FOWLP are shown in Table 7. Carrier 1 was a silicon wafer and the thickness was 0.775 mm.
In the process, PI was cured under the conditions shown in Table 5 and then cooled to 25 °C for 3 h. The warpage of the wafer was simulated after PI 2 curing, and the effect of RDL in silicon and PI 1 was not considered. The reference temperature (the temperature at zero stress) was set as 120 °C, which is the curing temperature of PI. The simulation warpage (carrier 1 is at top and crying face is positive) of PI using the elastic model and viscoelastic model described in this paper was 0.175 mm and 0.172 mm, respectively, as shown in Figure 8a,b. The T-MAP measuring instrument of the Fogale Nanotech Company was used for the experimental measurement. The top side was carrier 1, and the warpage was 0.160 mm, as shown in Figure 8c. Therefore, the warpage considering the viscoelastic properties of the polymer is very close to that using elastic properties.
In the process, EMC was cured under the conditions shown in Table 3 and then cooled to 25 °C for 3 h. The warpage of the wafer was simulated after EMC curing, and the effects of SiO2 and RDL were ignored. The reference temperature was set as 125 °C, which is the curing temperature of EMC. To improve the computational efficiency, the equivalent formulas of the composite material were used to calculate the mechanical properties of the underfill and bump layers. Figure 9 shows a typical bi-material composite structure, and its mechanical properties can be described by Equations (11)–(18).
E x = E y = E 1 E 2 / ( V 2 E 1 + V 1 E 2 ) ,
E z = V 1 E 1 + V 2 E 2 ,
μ xz = μ yz = E x ( V 1 μ 1 + V 2 μ 2 ) / E z ,
μ xy = V 2 μ 2 + V 1 μ 1 1 + μ 1 E 1 E z μ xz 1 μ 1 2 + μ 1 E 1 E z μ xz ,
α z = V 1 α 1 E 1 + V 2 α 2 E 2 / E z ,
α y = α 1 V 1 1 + μ 1 + α 2 V 2 1 + μ 2 α z μ xz ,
α x = α y ,
G xy = E x / 2 × 1 + μ xy ,
G xz = G yz = G 1 G 2 / V 2 G 1 + V 1 G 2 ,
where V, E, G, α, and μ are the volume percentages, Young’s modulus, shear modulus, CTE, and Poisson’s ratio of the material, respectively. The subscript “1” represents material_1 and the subscript “2” represents material_2. The subscripts “x”, “y”, and “z” represent the X direction, Y direction, and Z direction, respectively. The subscript “xy” represents in-plane, and the subscripts “xz” and “yz” represent vertical.
The equivalent mechanical properties of the underfill and bump layers of three dies were calculated, which are shown in Table 8.
The warpage of the wafer (carrier 1 is at top and crying face is positive) is shown in Figure 10 after molding and cooling down to 25 °C. The warpage of the elastic model is 2.247 mm, that of the viscoelastic model is 1.473 mm, and the measurement result is 0.887 mm. The error between simulation and measurement is large, but the results show that the warpage of the viscoelastic model is closer to the measurement values. Therefore, the EMC viscoelastic model described in this paper is able to accurately predict the warpage.

4. Results and Discussion

4.1. Evolution of Warpage

FEA was used to simulate the warpage after PI 2 curing, molding, and mold grinding in order to study the evolution of the wafer warpage in the process. The results of FEA are 0.172 mm, 1.473 mm, and 1.022 mm, respectively, as shown in Figure 11. Correspondingly, the warpage is 0.16 mm, 0.887 mm, and 0.62 mm in the experiment. It can be seen that the wafer warpage increases significantly after the molding, which indicates that the molding has a great influence on the warpage. This is due to the very large difference in the thermal expansion coefficient between EMC and other materials. Therefore, it is necessary to research the molding process. The difference between simulation and experimental values is remarkable. According to the conclusion made in Section 3.3, compared with linear elasticity, the simulation based on viscoelasticity is closer to the measured value. However, the prediction accuracy of warpage is affected by other factors, such as volume shrinkage during cure, besides the selection of the elastic model.

4.2. Effects of EMC

The change in the EMC properties will lead to different warpage. Therefore, FEA was used to simulate the effects of the other two EMCs (EMC_2 and EMC_3) on the warpage. The linear elastic properties of the two materials are shown in Table 9. With the exception that CTE is different from EMC_1, all other parameters are the same. Figure 12a shows the simulation warpage after molding. It can be seen that the warpage molding with EMC_2 is 1.679 mm, which is larger than molding with EMC_1. The warpage molding with EMC_3 is the maximum, which is 2.359 mm. Therefore, the CTE of EMC has a very significant effect on warpage.
The change in the EMC thickness will also lead to different warpage. The simulation results are shown in Figure 12b. It can be seen that the warpage increases with the increase in the EMC thickness, and the increase rate is decreasing. Therefore, under the condition of process capacity, the wafer warpage can be reduced by lowering the thickness of the EMC.

4.3. Effects of Carrier

The warpage will be varied when adjusting the material and thickness of the carrier, and the matching with silicon, EMC, and PI material. Therefore, FEA was used to simulate the effects of carrier 2 after releasing carrier 1 in step (7) in the process. The carrier 2 was bonded with the fan-out wafer at 180 °C and then cooled to 25 °C for 10 min. The material of carrier 2 was silicon and glass, respectively, and the thickness is 700 μm, 800 μm, 900 μm, and 1000 μm, respectively. The glass carrier 2 has a CTE of 8.4 ppm/°C, Young’s modulus of 71.5 GPa, and Poisson’s ratio of 0.22. The results are shown in Figure 13a, where carrier 2 is at the top and the crying face is positive. The warpage with silicon carrier 2 is lower than that with glass. With the increase in the thickness, the warpage decreases, and the reduction rate is also decreasing. Therefore, the warpage can be optimized by selecting the appropriate material and thickness of carrier 2.
Glass can provide a wide range of CTEs to match different fan-out packaging materials, and has become the preferred choice in the process of FOWLP. The effects of the CTE of glass on wafer warpage were simulated and analyzed by FEA. The trend of 12 inch FOWLP warpage when increasing the CTE of glass carrier 2 is shown in Figure 13b. It can be seen that the warpage decreases significantly with the increase in the CTE of glass. When the CTE increases from 4 ppm/°C to 8.4 ppm/°C, the warpage decreases by 3.5 mm. When the CTE is less than 5 ppm/°C, the warpage is positive, and the increase rate decreases with the decrease in CTE. When the CTE is not less than 6 ppm/°C, the warpage is negative, and the reduction rate decreases with the increase in CTE. Therefore, the warpage can be optimized by selecting a carrier 2 material with a matched CTE.

5. Conclusions

In this study, we measured the linear viscoelasticity properties of EMC and PI using dynamic mechanical analysis. Then, the simulation warpage of viscoelastic and elastic properties for 12 inch RDL-first FOWLP were compared with the measured warpage to evaluate the accuracy of the viscoelastic properties. Moreover, the evolution of warpage was investigated with simulations and experiments, and the effects of EMC and effects of carrier 2 were investigated with FEA. Some of the most important results are summarized below:
  • The viscoelastic properties of EMC in FEA can predict the wafer warpage more accurately than elastic properties under the process. The warpage considering the viscoelastic properties of PI is very close to that using elastic properties.
  • In the process, the molding has a great influence on the warpage. The wafer warpage can be reduced by lowering the thickness of EMC and selecting an EMC with a smaller CTE.
  • Carrier 2 also has a very significant effect on warpage. The wafer warpage can be optimized by increasing the thickness of carrier 2 and selecting a carrier 2 with a matched CTE.

Author Contributions

Investigation, software and writing original draft, C.C.; investigation and writing—review and editing, M.S.; formal analysis, R.M.; project administration, Y.Z.; supervision, J.L.; supervision, L.C. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

The data presented in this study are available on request from the corresponding author. The data are not publicly available due to privacy.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Semiconductor Industry Association. The International Technology Roadmap for Semiconductors. 2007 Edition. Available online: https://www.semiconductors.org/wp-content/uploads/2018/08/20071_Executive-Summary-.pdf (accessed on 15 October 2021).
  2. Tang, H.; Lu, M.; Tsai, J.; Jiang, J.; Cheng, H.; Lu, T.; Wang, Y.-P. Integration benefits and challenges on Fan-Out to enable system in package for IoT/Wearable Devices. In Proceedings of the 2017 IEEE 19th Electronics Packaging Technology Conference (EPTC), Singapore, 6–9 December 2017; pp. 1–4. [Google Scholar]
  3. Sham, M.L.; Fu, H.; Lin, J.r.; Leung, L.w.; Chen, Y.C.; Chung, T. Product-Oriented System-in-Package (SiP) Technology for Next Generation Wireless/Portable Electronics. In Proceedings of the 2006 1st Electronic Systemintegration Technology Conference, Dresden, Germany, 5–7 September 2006. [Google Scholar]
  4. Kim, S.; Kim, Y.; Cho, K.; Song, J.; Kim, J. Design and measurement of a novel on-interposer active power distribution network for efficient simultaneous switching noise suppression in 2.5-D/3-D IC. IEEE Trans. Compon. Packag. Manuf. Technol. 2019, 9, 317–328. [Google Scholar] [CrossRef]
  5. Su, Y.F.; Chiang, K.N.; Liang, S.Y. Design and reliability assessment of novel 3D-IC packaging. J. Mech. 2017, 33, 193–203. [Google Scholar] [CrossRef] [Green Version]
  6. Brunnbauer, M.; Furgut, E.; Beer, G.; Meyer, T.; Hedler, H.; Belonio, J.; Nomura, E.; Kiuchi, K.; Kobayashi, K. An embedded device technology based on a molded reconfigured wafer. In Proceedings of the 56th Electronic Components and Technology Conference, San Diego, CA, USA, 30 May–2 June 2006; p. 5. [Google Scholar]
  7. Zwenger, C.; Huemoeller, R.; Kim, J.H.; Kim, D.J.; Do, W.C.; Seo, S.M. Silicon wafer integrated fan-out technology. In Proceedings of the International Microelectronics Assembly and Packaging Society Additional Conference, Raleigh, NC, USA, 9–12 October 2017; pp. 217–247. [Google Scholar]
  8. Braun, T.; Becker, K.-F.; Voges, S.; Thomas, T.; Kahle, R.; Bauer, J.; Aschenbrenner, R.; Lang, K.-D. From wafer level to panel level mold embedding. In Proceedings of the 2013 IEEE 63rd Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 28–31 May 2013; pp. 1235–1242. [Google Scholar]
  9. Tseng, C.F.; Liu, C.S.; Wu, C.H.; Yu, D. InFO (wafer level integrated fan-out) technology. In Proceedings of the 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 31 May–3 June 2016; pp. 1–6. [Google Scholar]
  10. Chen, C.; Yu, D.; Wang, T.; Xiao, Z.; Wan, L. Warpage Prediction and Optimization for Embedded Silicon Fan-Out Wafer-Level Packaging Based on an Extended Theoretical Model. IEEE Trans. Compon. Packag. Manuf. Technol. 2019, 9, 845–853. [Google Scholar] [CrossRef]
  11. Cheng, H.C.; Tai, L.C.; Liu, Y.C. Theoretical and Experimental Investigation of Warpage Evolution of Flip Chip Package on Packaging during Fabrication. Materials 2021, 14, 4816. [Google Scholar] [CrossRef] [PubMed]
  12. Chuang, W.C.; Chen, W.L. Study on the Strip Warpage Issues Encountered in the Flip-Chip Process. Materials 2022, 15, 323. [Google Scholar] [CrossRef]
  13. Deng, S.S.; Hwang, S.J.; Lee, H.H. Warpage prediction and experiments of fan-out wafer level package during encapsulation process. IEEE Trans. Compon. Packag. Manuf. Technol. 2013, 3, 452–458. [Google Scholar] [CrossRef]
  14. Liu, H.W.; Liu, Y.W.; Ji, J.; Liao, J.; Chen, A.; Chen, Y.-H.; Kao, N.; Lai, Y.C. Warpage characterization of panel Fan-out (P-FO) package. In Proceedings of the 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 27–30 May 2014; pp. 1750–1754. [Google Scholar]
  15. Yeh, S.S.; Lin, P.Y.; Lee, K.C.; Wang, J.H.; Lin, W.Y.; Yew, M.C.; Lai, P.C. Warpage modeling and characterization of the viscoelastic relaxation for cured molding process in fan-out packages. In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; pp. 841–846. [Google Scholar]
  16. Takekoshi, M.; Nishido, K.; Okada, Y.; Suzuki, N.; Nonaka, T. Warpage suppression during FO-WLP fabrication process. In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; pp. 902–908. [Google Scholar]
  17. Lau, J.H.; Li, M.; Yang, L.; Li, M.; Xu, I.; Chen, T.; Chen, S.; Yong, Q.X.; Madhukumar, J.P.; Kai, W.; et al. Warpage measurements and characterizations of fanout wafer-level packaging with large chips and multiple redistributed layers. IEEE Trans. Compon. Packag. Manuf. Technol. 2018, 8, 1729–1737. [Google Scholar] [CrossRef]
  18. Cheng, H.C.; Wu, Z.D.; Liu, Y.C. Viscoelastic Warpage Modeling of Fan-Out Wafer-Level Packaging During Wafer-Level Mold Cure Process. IEEE Trans. Compon. Packag. Manuf. Technol. 2020, 10, 1240–1250. [Google Scholar] [CrossRef]
  19. Chen, Z.; Zhang, X.; Lim, S.P.S.; Lim, S.S.B.; Lau, B.L.; Han, Y.; Jong, M.C.; Liu, S.; Wang, X.; Andriani, Y. Wafer Level Warpage Modelling and Validation for FOWLP Considering Effects of Viscoelastic Material Properties Under Process Loadings. In Proceedings of the 2019 IEEE 69th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 28–31 May 2019; pp. 1543–1549. [Google Scholar]
  20. Zhu, C.; Ning, W.; Xu, G.; Luo, L. Influence of the Viscoelastic Properties of the Polyimide Dielectric Coating on the Wafer Warpage. J. Electron. Mater. 2014, 43, 3255–3262. [Google Scholar] [CrossRef]
Figure 1. (a) The structure of the FOWLP; (b) top view of the FOWLP (unit: mm).
Figure 1. (a) The structure of the FOWLP; (b) top view of the FOWLP (unit: mm).
Materials 15 01683 g001
Figure 2. (a) The optical photo of FOWLP; (b) the manufacturing process of the FOWLP.
Figure 2. (a) The optical photo of FOWLP; (b) the manufacturing process of the FOWLP.
Materials 15 01683 g002
Figure 3. Storage modulus, loss modulus, and tan δ of EMC tested by DMA at 1 Hz.
Figure 3. Storage modulus, loss modulus, and tan δ of EMC tested by DMA at 1 Hz.
Materials 15 01683 g003
Figure 4. Modulus at different frequencies and isothermal temperatures. (a) Storage modulus; (b) loss modulus.
Figure 4. Modulus at different frequencies and isothermal temperatures. (a) Storage modulus; (b) loss modulus.
Materials 15 01683 g004
Figure 5. (a) Values of translation factor αT and WLF fitting; (b) Prony series fitting of the storage modulus master curve at 140 °C.
Figure 5. (a) Values of translation factor αT and WLF fitting; (b) Prony series fitting of the storage modulus master curve at 140 °C.
Materials 15 01683 g005
Figure 6. Prony series fitting of the storage modulus master curve at 195 °C.
Figure 6. Prony series fitting of the storage modulus master curve at 195 °C.
Materials 15 01683 g006
Figure 7. The 1/4 shell element model of the wafer.
Figure 7. The 1/4 shell element model of the wafer.
Materials 15 01683 g007
Figure 8. The warpage contour of 1/4 model after PI 2 curing and cooling down to room temperature. (a) Simulation with elasticity; (b) simulation with viscoelasticity; (c) measurement.
Figure 8. The warpage contour of 1/4 model after PI 2 curing and cooling down to room temperature. (a) Simulation with elasticity; (b) simulation with viscoelasticity; (c) measurement.
Materials 15 01683 g008
Figure 9. The model of the bi-material composite structure.
Figure 9. The model of the bi-material composite structure.
Materials 15 01683 g009
Figure 10. The warpage contour of 1/4 model after EMC curing and cooling down to room temperature. (a) Simulation with elasticity; (b) simulation with viscoelasticity; (c) measurement.
Figure 10. The warpage contour of 1/4 model after EMC curing and cooling down to room temperature. (a) Simulation with elasticity; (b) simulation with viscoelasticity; (c) measurement.
Materials 15 01683 g010
Figure 11. The evolution of warpage in the process (carrier 1 is at top and crying face is positive).
Figure 11. The evolution of warpage in the process (carrier 1 is at top and crying face is positive).
Materials 15 01683 g011
Figure 12. (a) The effect of different EMCs on wafer warpage; (b) The effect of thickness of EMC on wafer warpage (carrier 1 is at top and the crying face is positive).
Figure 12. (a) The effect of different EMCs on wafer warpage; (b) The effect of thickness of EMC on wafer warpage (carrier 1 is at top and the crying face is positive).
Materials 15 01683 g012
Figure 13. (a) The effect of material and thickness of carrier 2 on wafer warpage; (b) The effect of CTE of glass carrier 2 on warpage (carrier 2 is at top and crying face is positive).
Figure 13. (a) The effect of material and thickness of carrier 2 on wafer warpage; (b) The effect of CTE of glass carrier 2 on warpage (carrier 2 is at top and crying face is positive).
Materials 15 01683 g013
Table 1. The die size in the FOWLP.
Table 1. The die size in the FOWLP.
Num.Size (mm)Thickness (μm)Diameter of Bump (μm)Height of Bump (μm)
Die 14 × 825015075
Die 24 × 43502025
Die 32 × 22006047
Table 2. The thickness of the interconnection in the FOWLP.
Table 2. The thickness of the interconnection in the FOWLP.
LayerThickness (μm)LayerThickness (μm)LayerThickness (μm)
PI 15RDL 12SiO23
PI 27RDL 24
PI 38RDL 35
Table 3. Process conditions for preparing cured polyimide.
Table 3. Process conditions for preparing cured polyimide.
Conditions of CuringThickness (μm)
Prepreg CuringPost Curing
T (°C)t (min)T (°C)t (min)
1251015060500
Table 4. Prony series coefficients of EMC.
Table 4. Prony series coefficients of EMC.
iEi (GPa)αiτi (s)iEi (GPa)αiτi (s)iEi (GPa)αiτi (s)
10.144850.007271010102.634660.1322310−1190.330300.0165810−10
20.208160.01045109112.197060.1102710−2200.212230.0106510−11
30.238590.01197106121.590560.0798310−3210.209000.0104910−12
40.230460.01157105131.089140.0546610−4220.214890.0107810−13
50.467830.02348104140.713670.0358210−5230.090990.0045710−14
60.427490.02145103150.521840.0261910−6240.206940.0103910−15
71.117790.05610102160.391810.0196610−7250.552360.0277210−16
81.915520.0961410170.355070.0178210−8E1.1
92.496830.125311180.277110.0139110−9
Table 5. Process conditions for preparing cured polyimide.
Table 5. Process conditions for preparing cured polyimide.
Conditions of CuringThickness (μm)
Prepreg CuringPost Curing
T (°C)t (min)T (°C)t (min)T (°C)t (min)
100512052006010
Table 6. Prony series coefficients of polyimide.
Table 6. Prony series coefficients of polyimide.
iEi (MPa)αiτi (s)iEi (MPa)αiτi (s)
1155.960.058831001070.660.0266510−7
2215.040.08112101189.020.0335810−8
3206.440.0778711260.970.0230010−9
4205.310.0774510−11365.460.0246910−10
5197.890.0746510−21487.400.0329710−11
6131.960.0497810−31587.260.0329210−12
7109.970.0414810−41677.260.0291410−13
896.730.0364910−5E700
993.610.0353110−6
Table 7. Material properties of the FOWLP.
Table 7. Material properties of the FOWLP.
MaterialCTE (ppm/°C)Young’s Modulus (GPa)Poisson’s Ratio
Si2.81310.28
Copper171250.34
SiO20.5730.3
Underfill427.10.3
PI542.50.3
EMC7(<Tg)/22(>Tg)190.26
Table 8. The equivalent mechanical properties of underfill and bump layers.
Table 8. The equivalent mechanical properties of underfill and bump layers.
Die 1Die 2Die 3
CTE (ppm/°C)X,Y 45.109
Z 33.722
X,Y 45.220
Z 32.123
X,Y 45.206
Z 31.631
Young’s modulus (GPa)X,Y 7.683
Z 10.892
X,Y 7.897
Z 12.145
X,Y 7.976
Z 12.591
Shear modulus (GPa)XY 2.814
XZ,YZ 2.953
XY 2.874
XZ,YZ 3.035
XY 2.898
XZ,YZ 3.065
Poisson’s ratioXY 0.365
XZ,YZ 0.215
XY 0.373
XZ,YZ 0.200
XY 0.376
XZ,YZ 0.195
Table 9. The material properties of EMC_2 and EMC_3.
Table 9. The material properties of EMC_2 and EMC_3.
MaterialCTE (ppm/°C)Young’s Modulus (Gpa)Poisson’s Ratio
EMC_28(<Tg)/30(>Tg)190.26
EMC_310(<Tg)/40(>Tg)190.261
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Chen, C.; Su, M.; Ma, R.; Zhou, Y.; Li, J.; Cao, L. Investigation of Warpage for Multi-Die Fan-Out Wafer-Level Packaging Process. Materials 2022, 15, 1683. https://doi.org/10.3390/ma15051683

AMA Style

Chen C, Su M, Ma R, Zhou Y, Li J, Cao L. Investigation of Warpage for Multi-Die Fan-Out Wafer-Level Packaging Process. Materials. 2022; 15(5):1683. https://doi.org/10.3390/ma15051683

Chicago/Turabian Style

Chen, Chuan, Meiying Su, Rui Ma, Yunyan Zhou, Jun Li, and Liqiang Cao. 2022. "Investigation of Warpage for Multi-Die Fan-Out Wafer-Level Packaging Process" Materials 15, no. 5: 1683. https://doi.org/10.3390/ma15051683

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop