Application and Prospect of Artificial Intelligence Methods in Signal Integrity Prediction and Optimization of Microsystems
Abstract
:1. Introduction
- (1)
- The application of NNs in the prediction of SI performance in microsystems are summarized;
- (2)
- The application of AI algorithms in the optimization of SI performance in microsystems are summarized;
- (3)
- The characteristics and application scenarios of neural network methods applied to microsystem signal integrity performance prediction are compared, and the characteristics and application of artificial intelligence algorithms applied to microsystem signal integrity performance optimization are compared. The above work serves as a reference for an efficient, fast and intelligent microsystem integration design in the future.
2. Fast Prediction of Microsystem Performance by Neural Networks
2.1. Artificial Neural Network
2.2. Deep Neural Network
2.3. Recurrent Neural Networks
2.4. Convolutional Neural Network
2.5. Summary
3. Intelligent Optimization Method for Microsystem Design
3.1. Genetic Algorithm
3.2. Differential Evolution
3.3. Deep Partition Tree Bayesian Optimization
3.4. Two-Stage Bayesian optimization
3.5. Summary
4. Discussions and Outlook
5. Conclusions
- 1.
- NNs can be used to quickly predict the SI of microsystems, but to ensure the accuracy of the prediction, a large amount of data needs to be obtained to train NNs.
- 2.
- The SI prediction problem with independent design parameters, a small number of design parameters and performance parameters, and a relatively simple mapping relationship can generally be solved by NNs such as ANN or DNN; if there is a certain correlation between the design parameters, RNN or CNN can be selected. Problems that have a certain physical significance and need to ensure that the constructed network has physical properties such as causality and passivity must add relevant knowledge according to the specific problem as a priori to ensure its characteristics.
- 3.
- The heuristic optimization algorithm can improve the optimization efficiency of the optimal SI solution, and the combination of the established fast prediction model based on NN can further reduce the iteration time.
Author Contributions
Funding
Data Availability Statement
Conflicts of Interest
Abbreviations
SI | Signal Integrity |
AI | Artificial Intelligence |
NN | Neural Network |
EM | Electromagnetic |
FEM | Finite Element Model |
ANN | Artificial Neural Network |
DNN | Deep Neural Network |
RNN | Recurrent Neural Networks |
CNN | Convolutional Neural Network |
CAE | Convolutional autoencoder |
STCNN | Spectrum Transposed Convolution Network |
CEL | Causal Execution Layer |
PEL | Passive Execution Layer |
GA | Genetic Algorithm |
DE | Linear dichroism |
BO | Bayesian Optimization |
DPTBO | Deep Partition Tree Bayesian Optimization |
TSBO | Two-stage Bayesian optimization |
NEXT | Near-end crosstalk |
FEXT | Far-end crosstalk |
References
- Traub, M.; Maier, A.; Barbehön, K.L. Future Automotive Architecture and the Impact of IT Trends. IEEE Softw. 2017, 34, 27–32. [Google Scholar] [CrossRef]
- Shan, G.; Zheng, Y.; Xing, C.; Chen, D.; Li, G.; Yang, Y. Architecture of Computing System based on Chiplet. Micromachines 2022, 13, 205. [Google Scholar] [CrossRef] [PubMed]
- Jeloka, S.; Cline, B.; Das, S.; Labbe, B.; Rico, A.; Herberholz, R.; DeLaCruz, J.; Mathur, R.; Hung, S. System technology co-optimization and design challenges for 3D IC. In Proceedings of the 2022 IEEE Custom Integrated Circuits Conference (CICC), Newport Beach, CA, USA, 24–27 April 2022; pp. 1–6. [Google Scholar] [CrossRef]
- Naffziger, S.; Lepak, K.; Paraschou, M.; Subramony, M. 2.2 AMD Chiplet Architecture for High-Performance Server and Desktop Products. In Proceedings of the 2020 IEEE International Solid-State Circuits Conference—(ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 44–45. [Google Scholar] [CrossRef]
- Pal, S.; Petrisko, D.; Kumar, R.; Gupta, P. Design Space Exploration for Chiplet-Assembly-Based Processors. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2020, 28, 1062–1073. [Google Scholar] [CrossRef]
- Liu, Z.; Jiang, H.; Zhu, Z.; Chen, L.; Sun, Q.; Zhang, W. Crosstalk Noise of Octagonal TSV Array Arrangement Based on Different Input Signal. Processes 2022, 10, 260. [Google Scholar] [CrossRef]
- Kim, H.; Lee, S.; Song, K.; Shin, Y.; Park, D.; Park, J.; Cho, J.; Ahn, S. A Novel Interposer Channel Structure with Vertical Tabbed Vias to Reduce Far-End Crosstalk for Next-Generation High-Bandwidth Memory. Micromachines 2022, 13, 1070. [Google Scholar] [CrossRef] [PubMed]
- Patti, R. Three-Dimensional Integrated Circuits and the Future of System-on-Chip Designs. Proc. IEEE 2006, 94, 1214–1224. [Google Scholar] [CrossRef]
- Beica, R. 3D integration: Applications and market trends. In Proceedings of the 2015 International 3D Systems Integration Conference (3DIC), Sendai, Japan, 31 August–2 September 2015; pp. TS5.1.1–TS5.1.7. [Google Scholar] [CrossRef]
- Moore, S.K. Chiplets are the future of processors: Three advances boost performance, cut costs, and save power. IEEE Spectr. 2020, 57, 11–12. [Google Scholar] [CrossRef]
- Zhu, L.; Chaudhuri, A.; Banerjee, S.; Murali, G.; Vanna-Iampikul, P.; Chakrabarty, K.; Lim, S.K. Design Automation and Test Solutions for Monolithic 3D ICs. ACM J. Emerg. Technol. Comput. Syst. (JETC) 2021, 18, 21. [Google Scholar] [CrossRef]
- Vijayaraghavan, T.; Eckert, Y.; Loh, G.H.; Schulte, M.J.; Ignatowski, M.; Beckmann, B.M.; Brantley, W.C.; Greathouse, J.L.; Huang, W.; Karunanithi, A.; et al. Design and Analysis of an APU for Exascale Computing. In Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA), Austin, TX, USA, 4–8 February 2017; pp. 85–96. [Google Scholar] [CrossRef]
- Zaruba, F.; Schuiki, F.; Benini, L. A 4096-core RISC-V Chiplet Architecture for Ultra-efficient Floating-point Computing. In Proceedings of the 2020 IEEE Hot Chips 32 Symposium (HCS), Palo Alto, CA, USA, 16–18 August 2020; IEEE Computer Society: Los Alamitos, CA, USA, 2020; pp. 1–24. [Google Scholar]
- Burd, T.; Beck, N.; White, S.; Paraschou, M.; Kalyanasundharam, N.; Donley, G.; Smith, A.; Hewitt, L.; Naffziger, S. “Zeppelin”: An SoC for Multichip Architectures. IEEE J. Solid-State Circuits 2019, 54, 133–143. [Google Scholar] [CrossRef]
- Vivet, P.; Guthmuller, E.; Thonnart, Y.; Pillonnet, G.; Fuguet, C.; Miro-Panades, I.; Moritz, G.; Durupt, J.; Bernard, C.; Varreau, D.; et al. IntAct: A 96-Core Processor with Six Chiplets 3D-Stacked on an Active Interposer with Distributed Interconnects and Integrated Power Management. IEEE J. Solid-State Circuits 2021, 56, 79–97. [Google Scholar] [CrossRef]
- Fotouhi, P.; Werner, S.; Lowe-Power, J.; Yoo, S.J.B. Enabling scalable chiplet-based uniform memory architectures with silicon photonics. In Proceedings of the International Symposium on Memory Systems, Washington, DC, USA, 30 September–3 October 2019. [Google Scholar]
- Shulaker, M.M.; Hills, G.; Park, R.S.; Howe, R.T.; Saraswat, K.; Wong, H.S.P.; Mitra, S. Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature 2017, 547, 74–78. [Google Scholar] [CrossRef] [PubMed]
- Tang, S.; Liu, H.; Yan, S.; Xu, X.; Wu, W.; Fan, J.; Liu, J.; Hu, C.; Tu, L. A high-sensitivity MEMS gravimeter with a large dynamic range. Microsyst. Nanoeng. 2019, 5, 45. [Google Scholar] [CrossRef] [Green Version]
- Yan, W.; Xu, H.; Ling, M.; Zhou, S.; Qiu, T.; Deng, Y.; Zhao, Z.; Zhang, E. MOF-Derived Porous Hollow Co3O4@ZnO Cages for High-Performance MEMS Trimethylamine Sensors. ACS Sens. 2021, 6, 2613–2621. [Google Scholar] [CrossRef]
- Han, S.; Meng, Z.; Zhang, X.; Yan, Y. Hybrid Deep Recurrent Neural Networks for Noise Reduction of MEMS-IMU with Static and Dynamic Conditions. Micromachines 2021, 12, 214. [Google Scholar] [CrossRef] [PubMed]
- Gao, A.; Liu, K.; Liang, J.; Wu, T. AlN MEMS filters with extremely high bandwidth widening capability. Microsyst. Nanoeng. 2020, 6, 74. [Google Scholar] [CrossRef]
- Park, M.J.; Lee, J.; Cho, K.; Park, J.; Moon, J.; Lee, S.H.; Kim, T.K.; Oh, S.; Choi, S.; Choi, Y.; et al. A 192-Gb 12-High 896-GB/s HBM3 DRAM with a TSV Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization. IEEE J. Solid-State Circuits 2023, 58, 256–269. [Google Scholar] [CrossRef]
- Mohammadian, S.; Babazadeh, F.; Abedi, K. Study of a MOEMS XOR gate based on optical ring resonator. Phys. Scr. 2021, 96, 125532. [Google Scholar] [CrossRef]
- Rochus, V.; Jansen, R.; Goyvaerts, J.; Neutens, P.; O’Callaghan, J.; Rottenberg, X. Fast analytical model of MZI micro-opto-mechanical pressure sensor. J. Micromechanics Microengineering 2018, 28, 064003. [Google Scholar] [CrossRef]
- Taghavi, M.; Abedi, A.; Parsanasab, G.M.; Rahimi, M.; Noori, M.; Nourolahi, H.; Latifi, H. Closed-loop MOEMS accelerometer. Opt. Express 2022, 30, 20159–20174. [Google Scholar] [CrossRef]
- Liu, X.; Zhu, Z.; Yang, Y.; Ding, R.; Li, Y. Electrical Modeling and Analysis of Differential Dielectric-Cavity Through-Silicon via Array. IEEE Microw. Wirel. Compon. Lett. 2017, 27, 618–620. [Google Scholar] [CrossRef]
- Lu, Q.; Zhu, Z.; Yang, Y.; Ding, R.; Li, Y. High-Frequency Electrical Model of Through-Silicon Vias for 3-D Integrated Circuits Considering Eddy Current and Proximity Effects. IEEE Trans. Compon. Packag. Manuf. Technol. 2017, 7, 2036–2044. [Google Scholar] [CrossRef]
- Li, G.; Shan, G.; Chao, X.; Zheng, Y. Application and Prospect of Artificial Intelligence Method in Signal Integrity Design of Microsystem. In Proceedings of the 4th International Conference on Microelectronic Devices and Technologies (MicDAT’2022), IFSA, Corfu, Greece, 21–23 September 2022; pp. 43–46. [Google Scholar]
- Lu, T.; Sun, J.; Wu, K.; Yang, Z. High-Speed Channel Modeling with Machine Learning Methods for Signal Integrity Analysis. IEEE Trans. Electromagn. Compat. 2018, 60, 1957–1964. [Google Scholar] [CrossRef]
- Goay, C.; Abd Aziz, A.; Ahmad, N.; Goh, P. Eye Diagram Contour Modeling Using Multilayer Perceptron Neural Networks with Adaptive Sampling and Feature Selection. IEEE Trans. Compon. Packag. Manuf. Technol. 2019, 9, 2427–2441. [Google Scholar] [CrossRef]
- Zhang, H.H.; Xue, Z.S.; Liu, X.Y.; Li, P.; Jiang, L.; Shi, G.M. Optimization of High-Speed Channel for Signal Integrity with Deep Genetic Algorithm. IEEE Trans. Electromagn. Compat. 2022, 64, 1270–1274. [Google Scholar] [CrossRef]
- Koziel, S.; Kurgan, P. Rapid multi-objective design of integrated on-chip inductors by means of Pareto front exploration and design extrapolation. J. Electromagn. Waves Appl. 2019, 33, 1416–1426. [Google Scholar] [CrossRef]
- Cui, J.; Feng, F.; Zhang, J.; Zhu, L.; Zhang, Q.J. Bayesian-Assisted Multilayer Neural Network Structure Adaptation Method for Microwave Design. IEEE Microw. Wirel. Compon. Lett. 2023, 33, 3–6. [Google Scholar] [CrossRef]
- Zhang, Y.; Yu, S.; Su, D.; Shen, Z. Finite element modeling on electromigration of TSV interconnect in 3D package. In Proceedings of the 2018 IEEE 20th Electronics Packaging Technology Conference (EPTC), Singapore, 4–7 December 2018; pp. 695–698. [Google Scholar] [CrossRef]
- Ruehli, A.E. Equivalent circuit models for three-dimensional multiconductor systems. IEEE Trans. Microw. Theory Tech. 1974, 22, 216–221. [Google Scholar] [CrossRef]
- Trinchero, R.; Canavero, F.G. Modeling of eye diagram height in high-speed links via support vector machine. In Proceedings of the 2018 IEEE 22nd Workshop on Signal and Power Integrity (SPI), Brest, France, 22–25 May 2018; pp. 1–4. [Google Scholar] [CrossRef]
- Ooi, K.S.; Kong, C.L.; Goay, C.H.; Ahmad, N.S.; Goh, P. Crosstalk modeling in high-speed transmission lines by multilayer perceptron neural networks. Neural Comput. Appl. 2020, 32, 7311–7320. [Google Scholar] [CrossRef]
- Zhang, N.; Liang, K.; Liu, Z.; Sun, T.; Wang, J. ANN-Based Instantaneous Simulation of Particle Trajectories in Microfluidics. Micromachines 2022, 13, 2100. [Google Scholar] [CrossRef] [PubMed]
- Cabaneros, S.M.; Calautit, J.K.; Hughes, B.R. A review of artificial neural network models for ambient air pollution prediction. Environ. Model. Softw. 2019, 119, 285–304. [Google Scholar] [CrossRef]
- Lee, S.Y.; Wu, C.J. Performance characterization, prediction, and optimization for heterogeneous systems with multi-level memory interference. In Proceedings of the 2017 IEEE International Symposium on Workload Characterization (IISWC), Seattle, WA, USA, 1–3 October 2017; pp. 43–53. [Google Scholar] [CrossRef]
- Ni, T.; Chang, H.; Zhu, S.; Lu, L.; Li, X.; Xu, Q.; Liang, H.; Huang, Z. Temperature-Aware Floorplanning for Fixed-Outline 3D ICs. IEEE Access 2019, 7, 139787–139794. [Google Scholar] [CrossRef]
- Pothiraj, S.; Kadambarajan, J.P.; Kadarkarai, P. Floor planning of 3D IC design using hybrid multi-verse optimizer. Wirel. Pers. Commun. 2021, 118, 3007–3023. [Google Scholar] [CrossRef]
- Peng, X.; Kaul, A.; Bakir, M.S.; Yu, S. Heterogeneous 3-D Integration of Multitier Compute-in-Memory Accelerators: An Electrical-Thermal Co-Design. IEEE Trans. Electron Devices 2021, 68, 5598–5605. [Google Scholar] [CrossRef]
- Deng, W.; Zhao, H.; Zou, L.; Li, G.; Yang, X.; Wu, D. A novel collaborative optimization algorithm in solving complex optimization problems. Soft Comput. 2017, 21, 4387–4398. [Google Scholar] [CrossRef]
- Guo, J.; Zhang, P.; Wu, D.; Liu, Z.; Ge, H.; Zhang, S.; Yang, X. A new collaborative optimization method for a distributed energy system combining hybrid energy storage. Sustain. Cities Soc. 2021, 75, 103330. [Google Scholar] [CrossRef]
- Li, L.; Jiang, L.; Zhang, J.; Wang, S.; Chen, F. A Complete YOLO-Based Ship Detection Method for Thermal Infrared Remote Sensing Images under Complex Backgrounds. Remote Sens. 2022, 14, 1534. [Google Scholar] [CrossRef]
- Liu, P.; Yang, Z.; Kang, L.; Wang, J. A Heterogeneous Architecture for the Vision Processing Unit with a Hybrid Deep Neural Network Accelerator. Micromachines 2022, 13, 268. [Google Scholar] [CrossRef] [PubMed]
- You, H.; Tian, S.; Yu, L.; Lv, Y. Pixel-Level Remote Sensing Image Recognition Based on Bidirectional Word Vectors. IEEE Trans. Geosci. Remote Sens. 2020, 58, 1281–1293. [Google Scholar] [CrossRef]
- Das, D.; Lee, C.S.G. A Two-Stage Approach to Few-Shot Learning for Image Recognition. IEEE Trans. Image Process. 2020, 29, 3336–3350. [Google Scholar] [CrossRef] [Green Version]
- Medico, R.; Spina, D.; Vande Ginste, D.; Deschrijver, D.; Dhaene, T. Machine-Learning-Based Error Detection and Design Optimization in Signal Integrity Applications. IEEE Trans. Compon. Packag. Manuf. Technol. 2019, 9, 1712–1720. [Google Scholar] [CrossRef]
- Sanakkayala, D.C.; Varadarajan, V.; Kumar, N.; Soni, G.; Kamat, P.; Kumar, S.; Patil, S.; Kotecha, K. Explainable AI for Bearing Fault Prognosis Using Deep Learning Techniques. Micromachines 2022, 13, 1471. [Google Scholar] [CrossRef]
- Wei, Z.; Osman, A.; Gross, D.; Netzelmann, U. Artificial intelligence for defect detection in infrared images of solid oxide fuel cells. Infrared Phys. Technol. 2021, 119, 103815. [Google Scholar] [CrossRef]
- Paraskevoudis, K.; Karayannis, P.; Koumoulos, E.P. Real-Time 3D Printing Remote Defect Detection (Stringing) with Computer Vision and Artificial Intelligence. Processes 2020, 8, 1464. [Google Scholar] [CrossRef]
- Beruvides, G.; Quiza, R.; Rivas, M.; Castaño, F.; Haber, R.E. Online detection of run out in microdrilling of tungsten and titanium alloys. Int. J. Adv. Manuf. Technol. 2014, 74, 1567–1575. [Google Scholar] [CrossRef] [Green Version]
- Castaño, F.; Haber, R.E.; Mohammed, W.M.; Nejman, M.; Villalonga, A.; Lastra, J.L.M. Quality monitoring of complex manufacturing systems on the basis of model driven approach. Smart Struct. Syst. 2020, 26, 495–506. [Google Scholar] [CrossRef]
- Swaminathan, M.; Torun, H.M.; Yu, H.; Hejase, J.A.; Becker, W.D. Demystifying Machine Learning for Signal and Power Integrity Problems in Packaging. IEEE Trans. Compon. Packag. Manuf. Technol. 2020, 10, 1276–1295. [Google Scholar] [CrossRef]
- Beyene, W. Application of artificial neural networks to statistical analysis and nonlinear modeling of high-speed interconnect systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2006, 26, 166–176. [Google Scholar] [CrossRef]
- Ambasana, N.; Anand, G.; Gope, D.; Mutnury, B. S-Parameter and Frequency Identification Method for ANN-Based Eye-Height/Width Prediction. IEEE Trans. Compon. Packag. Manuf. Technol. 2017, 7, 698–709. [Google Scholar] [CrossRef]
- Kim, H.; Sui, C.; Cai, K.; Sen, B.; Fan, J. Fast and Precise High-Speed Channel Modeling and Optimization Technique Based on Machine Learning. IEEE Trans. Electromagn. Compat. 2017, 60, 2049–2052. [Google Scholar] [CrossRef]
- Chen, S.; Chen, J.; Zhang, T.; Wei, S. Semi-Supervised Learning Based on Hybrid Neural Network for the Signal Integrity Analysis. IEEE Trans. Circuits Syst. II Express Briefs 2020, 67, 1934–1938. [Google Scholar] [CrossRef]
- Feng, F.; Na, W.; Jin, J.; Zhang, W.; Zhang, Q.J. ANNs for Fast Parameterized EM Modeling: The State of the Art in Machine Learning for Design Automation of Passive Microwave Structures. IEEE Microw. Mag. 2021, 22, 37–50. [Google Scholar] [CrossRef]
- Xie, B.; Swaminathan, M.; Han, K.J.; Xie, J. Coupling analysis of through-silicon via (TSV) arrays in silicon interposers for 3D systems. In Proceedings of the 2011 IEEE International Symposium on Electromagnetic Compatibility, Long Beach, CA, USA, 14–19 August 2011; pp. 16–21. [Google Scholar] [CrossRef]
- Ait Belaid, K.; Belahrach, H.; Ayad, H. Numerical laplace inversion method for through-silicon via (TSV) noise coupling in 3D-IC design. Electronics 2019, 8, 1010. [Google Scholar] [CrossRef] [Green Version]
- Ku, C.K.; Goay, C.H.; Ahmad, N.S.; Goh, P. Jitter Decomposition of High-Speed Data Signals from Jitter Histograms with a Pole–Residue Representation Using Multilayer Perceptron Neural Networks. IEEE Trans. Electromagn. Compat. 2020, 62, 2227–2237. [Google Scholar] [CrossRef]
- Chen, Y.; Tian, Y.; Le, M. Modeling and optimization of microwave filter by ADS-based KBNN. Int. J. Microw. Comput. Aided Eng. 2017, 27, e21062. [Google Scholar] [CrossRef]
- Na, W.; Feng, F.; Zhang, C.; Zhang, Q.J. A Unified Automated Parametric Modeling Algorithm Using Knowledge-Based Neural Network and l1 Optimization. IEEE Trans. Microw. Theory Tech. 2017, 65, 729–745. [Google Scholar] [CrossRef]
- Zhang, J.; Chen, J.; Guo, Q.; Liu, W.; Feng, F.; Zhang, Q.J. Parameterized Modeling Incorporating MOR-Based Rational Transfer Functions with Neural Networks for Microwave Components. IEEE Microw. Wirel. Compon. Lett. 2022, 32, 379–382. [Google Scholar] [CrossRef]
- Jin, H.; Gu, Z.M.; Tao, T.M.; Erping, L. Hierarchical Attention-Based Machine Learning Model for Radiation Prediction of WB-BGA Package. IEEE Trans. Electromagn. Compat. 2021, 63, 1972–1980. [Google Scholar] [CrossRef]
- Lho, D.; Park, H.; Park, S.; Kim, S.; Kang, H.; Sim, B.; Kim, S.; Park, J.; Cho, K.; Song, J.; et al. Channel Characteristic-Based Deep Neural Network Models for Accurate Eye Diagram Estimation in High Bandwidth Memory (HBM) Silicon Interposer. IEEE Trans. Electromagn. Compat. 2021, 64, 196–208. [Google Scholar] [CrossRef]
- Jin, J.; Feng, F.; Zhang, J.; Yan, S.; Na, W.; Zhang, Q. A Novel Deep Neural Network Topology for Parametric Modeling of Passive Microwave Components. IEEE Access 2020, 8, 82273–82285. [Google Scholar] [CrossRef]
- Nguyen, T.; Lu, T.; Wu, K.; Schutt-Aine, J. Fast Transient Simulation of High-Speed Channels Using Recurrent Neural Network. arXiv 2019, arXiv:1902.02627. [Google Scholar]
- Nguyen, T.; Lu, T.; Sun, J.; Le, Q.; We, K.; Schut-Aine, J. Transient Simulation for High-Speed Channels with Recurrent Neural Network. In Proceedings of the 2018 IEEE 27th Conference on Electrical Performance of Electronic Packaging and Systems (EPEPS), San Jose, CA, USA, 14–17 October 2018; pp. 303–305. [Google Scholar] [CrossRef]
- Shibata, R.; Ohira, M.; Ma, Z. A Novel Convolutional-Autoencoder Based Surrogate Model for Fast S-parameter Calculation of Planar BPFs. In Proceedings of the 2022 IEEE/MTT-S International Microwave Symposium—IMS 2022, Denver, CO, USA, 19–24 June 2022; pp. 498–501. [Google Scholar] [CrossRef]
- Torun, H.M.; Yu, H.; Dasari, N.; Chekuri, V.C.K.; Singh, A.; Kim, J.; Lim, S.K.; Mukhopadhyay, S.; Swaminathan, M. A Spectral Convolutional Net for Co-Optimization of Integrated Voltage Regulators and Embedded Inductors. In Proceedings of the 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Westminster, CO, USA, 4–7 November 2019; pp. 1–8. [Google Scholar] [CrossRef]
- Torun, H.; Durgun, A.; Aygun, K.; Swaminathan, M. Causal and Passive Parameterization of S-Parameters Using Neural Networks. IEEE Trans. Microw. Theory Tech. 2020, 68, 4290–4304. [Google Scholar] [CrossRef]
- Li, N.; Mao, J.; Zhao, W.S.; Tang, M.; Yin, W.Y. High-Frequency Electrothermal Characterization of TSV-Based Power Delivery Network. IEEE Trans. Compon. Packag. Manuf. Technol. 2018, 8, 2171–2179. [Google Scholar] [CrossRef]
- Zhu, H.R.; Zhao, Y.L.; Lu, J.G. A Novel Vertical Wire-Bonding Compensation Structure Adaptively Modeled and Optimized With GRNN and GA Methods for System in Package. IEEE Trans. Electromagn. Compat. 2021, 63, 2082–2092. [Google Scholar] [CrossRef]
- Odaira, T.; Yokoshima, N.; Yoshihara, I.; Yasunaga, M. Evolutionary design of high signal integrity interconnection based on eye-diagram. Artif. Life Robot. 2018, 23, 298–303. [Google Scholar] [CrossRef]
- Zhang, Z.; Liu, B.; Yu, Y.; Cheng, Q.S. A Microwave Filter Yield Optimization Method Based on Off-Line Surrogate Model-Assisted Evolutionary Algorithm. IEEE Trans. Microw. Theory Tech. 2022, 70, 2925–2934. [Google Scholar] [CrossRef]
- Torun, H.M.; Swaminathan, M. High-Dimensional Global Optimization Method for High-Frequency Electronic Design. IEEE Trans. Microw. Theory Tech. 2019, 67, 2128–2142. [Google Scholar] [CrossRef]
- Torun, H.; Swaminathan, M.; Davis, A.; Bellaredj, M. A Global Bayesian Optimization Algorithm and Its Application to Integrated System Design. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2018, 26, 792–802. [Google Scholar] [CrossRef]
- Price, K.; Storn, R.M.; Lampinen, J.A. Differential Evolution: A Practical Approach to Global Optimization; Springer Science & Business Media: Berlin/Heidelberg, Germany, 2006. [Google Scholar]
- Das, S.; Suganthan, P.N. Differential Evolution: A Survey of the State-of-the-Art. IEEE Trans. Evol. Comput. 2011, 15, 4–31. [Google Scholar] [CrossRef]
- Torun, H.M.; Swaminathan, M. Bayesian Framework for Optimization of Electromagnetics Problems. In Proceedings of the 2018 International Workshop on Computing, Electromagnetics, and Machine Intelligence (CEMi), Stellenbosch, South Africa, 21–24 November 2018; pp. 1–2. [Google Scholar] [CrossRef]
Ref. | Application Fields | Design Variables | Methods | Passivity, Causality | Advantage | Deficiency |
---|---|---|---|---|---|---|
[30] | Predicted channel eye height and jitter | 5 | ANN | No | High speed | Requiring a large amount of data and fewer design variables |
[37] | Predicted the crosstalk of coupled strip line and microstrip | 4–6 | ANN | No | High speed | Requiring a large amount of data and fewer design variables |
[59] | Predicted channel loss and crosstalk | 6 | ANN | No | High speed | Requiring a large amount of data and fewer design variables |
[29] | Predicted channel eye height and eye weight | 8 | DNN | No | High accuracy | Requiring a large amount of data |
[31] | Predicted channel eye height | 10 | DNN | No | High accuracy | Requiring a large amount of data |
[68] | Predicted the maximum 3m radiated electric field | 7 | Hierarchical attention-based DNN | No | High accuracy and low cost | Fewer design variables |
[71] | Predicted the voltage waves | 3 | RNN | No | Strong extrapolation ability | Gradient disappears and gradient explodes |
[73] | Predicted the S-parameter of BPF | 4 | CNN | No | Processing high dimensional data | Requiring a large amount of data |
[74] | Predicted the inductance | 8 | STCNN | No | High speed, accuracy, and require less data | Poor physical consistency |
[75] | Predicted the frequency response of PTH pair and BGA pair | 8–13 | STCNN + CEL + PEL | Yes | High accuracy, physical consistency, and requiring a small amount of data | Lower speed |
[65] | Predicted the frequency response of microstrip hairpin filter | 6 | ANN + Knowledge | Yes | High accuracy, and requiring a small amount of data | Requiring the knowledge |
[66] | Predicted the frequency response of microstrip filter | 7 | ANN + Knowledge | Yes | High accuracy, and requiring a small amount of data | Requiring the knowledge |
[67] | Predicted the frequency response of three-pole H-plane filter | 9 | ANN + Knowledge | Yes | High accuracy, and requiring a small amount of data | Requiring the knowledge |
Ref. | Application Fields | Number of Optimization Parameters | Methods | Advantage | Deficiency |
---|---|---|---|---|---|
[59] | Optimize channel loss and crosstalk | 6 | GA | High robustness and simple structure | Small optimization dimension and slow convergence |
[31] | Optimize the eye height | 10 | GA | High robustness and simple structure | Small optimization dimension and slow convergence |
[79] | Optimize the pass rate of filters | 11, 14 | DE | High robustness and simple structure | Small optimization dimension |
[80] | Optimize the eye diagram, S parameters and WPT | 10, 14, 32 | DPTBO | High optimization dimension | Complex structure |
[81] | Optimize the clock deviation and temperature gradient | 10 | TSBO | Fast convergence | Small optimization dimension |
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content. |
© 2023 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Shan, G.; Li, G.; Wang, Y.; Xing, C.; Zheng, Y.; Yang, Y. Application and Prospect of Artificial Intelligence Methods in Signal Integrity Prediction and Optimization of Microsystems. Micromachines 2023, 14, 344. https://doi.org/10.3390/mi14020344
Shan G, Li G, Wang Y, Xing C, Zheng Y, Yang Y. Application and Prospect of Artificial Intelligence Methods in Signal Integrity Prediction and Optimization of Microsystems. Micromachines. 2023; 14(2):344. https://doi.org/10.3390/mi14020344
Chicago/Turabian StyleShan, Guangbao, Guoliang Li, Yuxuan Wang, Chaoyang Xing, Yanwen Zheng, and Yintang Yang. 2023. "Application and Prospect of Artificial Intelligence Methods in Signal Integrity Prediction and Optimization of Microsystems" Micromachines 14, no. 2: 344. https://doi.org/10.3390/mi14020344
APA StyleShan, G., Li, G., Wang, Y., Xing, C., Zheng, Y., & Yang, Y. (2023). Application and Prospect of Artificial Intelligence Methods in Signal Integrity Prediction and Optimization of Microsystems. Micromachines, 14(2), 344. https://doi.org/10.3390/mi14020344