A Survey of Emerging Memory in a Microcontroller Unit
Abstract
:1. Introduction
2. Feasibility of Replacing Flash and SRAM in MCUs with Emerging NVMs
2.1. Characteristics of Various Storage Types
2.2. Peripheral Circuits of Flash and SRAM
3. Design Considerations for NVM in MCU: A Focus on Three Metrics
3.1. Bitcell Design: Cell Size Focus
3.2. Read/Write Circuit Design: Power Efficiency Focus
3.3. Macro Structure and Peripheral Circuit Design: Area Efficiency Focus
4. Circuit Design for CIM Based on RRAM and MRAM
5. Conclusions
Author Contributions
Funding
Conflicts of Interest
References
- Alioto, M.; Sánchez-Sinencio, E.; Sangiovanni-Vincentelli, A. Guest Editorial Special Issue on Circuits and Systems for the Internet of Things—From Sensing to Sensemaking. IEEE Trans. Circuits Syst. I Regul. Pap. 2017, 64, 2221–2225. [Google Scholar] [CrossRef]
- Soriano, T.; Novo, D.; Prenat, G.; Pendina, G.D.; Benoit, P. MemCork: Exploration of Hybrid Memory Architectures for Intermittent Computing at the Edge. In Proceedings of the 2022 IFIP/IEEE 30th International Conference on Very Large Scale Integration (VLSI-SoC), Patras, Greece, 3–5 October 2022; pp. 1–6. [Google Scholar]
- Kamei, A.; Kojima, T.; Amano, H.; Yokoyama, D.; Miyauchi, H.; Usami, K.; Hiraga, K.; Suzuki, K.; Bessho, K. Energy Saving in a Multi-Context Coarse Grained Reconfigurable Array with Non-Volatile Flip-Flops. In Proceedings of the 2021 IEEE 14th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), Singapore, 20–23 December 2021; pp. 273–280. [Google Scholar]
- Kroener, M. Energy Harvesting Technologies: Energy Sources, Generators and Management for Wireless Autonomous Applications. In Proceedings of the International Multi-Conference on Systems, Signals & Devices, Chemnitz, Germany, 20–23 March 2012; pp. 1–4. [Google Scholar]
- Fu, S.; Narayanan, V.; Wymore, M.L.; Deep, V.; Duwe, H.; Qiao, D. No Battery, No Problem: Challenges and Opportunities in Batteryless Intermittent Networks. J. Commun. Netw. 2023, 25, 806–813. [Google Scholar] [CrossRef]
- Sliper, S.T.; Wang, W.; Nikoleris, N.; Weddell, A.S.; Savanth, A.; Prabhat, P.; Merrett, G.V. Pragmatic Memory-System Support for Intermittent Computing Using Emerging Nonvolatile Memory. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2023, 42, 95–108. [Google Scholar] [CrossRef]
- Nakamura, H.; Nakada, T.; Miwa, S. Normally-off Computing Project: Challenges and Opportunities. In Proceedings of the 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), Singapore, 20–23 January 2014; pp. 1–5. [Google Scholar]
- Balsamo, D.; Das, A.; Weddell, A.S.; Brunelli, D.; Al-Hashimi, B.M.; Merrett, G.V.; Benini, L. Graceful Performance Modulation for Power-Neutral Transient Computing Systems. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2016, 35, 738–749. [Google Scholar] [CrossRef]
- Jayakumar, H.; Raha, A.; Raghunathan, V. QUICKRECALL: A Low Overhead HW/SW Approach for Enabling Computations across Power Cycles in Transiently Powered Computers. In Proceedings of the 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems, Mumbai, India, 5–9 January 2014; Available online: https://ieeexplore.ieee.org/document/6733152 (accessed on 22 February 2024).
- Liu, Y.; Su, F.; Yang, Y.; Wang, Z.; Wang, Y.; Li, Z.; Li, X.; Yoshimura, R.; Naiki, T.; Tsuwa, T.; et al. A 130-Nm Ferroelectric Nonvolatile System-on-Chip with Direct Peripheral Restore Architecture for Transient Computing System. IEEE J. Solid-State Circuits 2019, 54, 885–895. [Google Scholar] [CrossRef]
- Khanna, S.; Bartling, S.; Clinton, M.; Summerfelt, S.; Rodriguez, J.; McAdams, H. An FRAM-Based Nonvolatile Logic MCU SoC Exhibiting 100% Digital State Retention at VDD = 0 V Achieving Zero Leakage with <400-Ns Wakeup Time for ULP Applications. IEEE J. Solid-State Circuits 2013, 49, 95–106. [Google Scholar]
- Thirumala, S.K.; Raha, A.; Raghunathan, V.; Gupta, S.K. IPS-CiM: Enhancing Energy Efficiency of Intermittently-Powered Systems with Compute-in-Memory. In Proceedings of the 2020 IEEE 38th International Conference on Computer Design (ICCD), Hartford, CT, USA, 18–21 October 2020; pp. 368–376. [Google Scholar]
- Müller, J.; Yurchuk, E.; Schlösser, T.; Paul, J.; Hoffmann, R.; Müller, S.; Martin, D.; Slesazeck, S.; Polakowski, P.; Sundqvist, J.; et al. Ferroelectricity in HfO2 Enables Nonvolatile Data Storage in 28 Nm HKMG. In Proceedings of the 2012 Symposium on VLSI Technology (VLSIT), Honolulu, HI, USA, 12–14 June 2012; Available online: https://ieeexplore.ieee.org/document/6242443 (accessed on 22 February 2024).
- Thirumala, S.; Raha, A.; Gupta, S.; Raghunathan, V. Exploring the Design of Energy-Efficient Intermittently Powered Systems Using Reconfigurable Ferroelectric Transistors. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2022, 30, 365–378. [Google Scholar] [CrossRef]
- Aswathy, N.; Sivamangai, N.M. Future Nonvolatile Memory Technologies: Challenges and Applications. In Proceedings of the 2021 2nd International Conference on Advances in Computing, Communication, Embedded and Secure Systems (ACCESS), Ernakulam, India, 2–4 September 2021; pp. 308–312. [Google Scholar]
- Chun, K.C.; Zhao, H.; Harms, J.D.; Kim, T.-H.; Wang, J.-P.; Kim, C.H. A Scaling Roadmap and Performance Evaluation of In-Plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory. IEEE J. Solid-State Circuits 2013, 48, 598–610. [Google Scholar] [CrossRef]
- Roy, K.; Chakraborty, I.; Ali, M.; Ankit, A.; Agrawal, A. In-Memory Computing in Emerging Memory Technologies for Machine Learning: An Overview. In Proceedings of the 2020 57th ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, 20–24 July 2020; IEEE: Piscataway, NJ, USA, 2020; pp. 1–6. [Google Scholar]
- Chen, W.-H.; Khwa, W.-S.; Li, J.-Y.; Lin, W.-Y.; Lin, H.-T.; Liu, Y.; Wang, Y.; Wu, H.; Yang, H.; Chang, M.-F. Circuit Design for beyond von Neumann Applications Using Emerging Memory: From Nonvolatile Logics to Neuromorphic Computing. In Proceedings of the 2017 18th International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, USA, 14–15 March 2017; pp. 23–28. [Google Scholar]
- Gong, H.; He, H.; Pan, L.; Gao, B.; Tang, J.; Pan, S.; Li, J.; Yao, P.; Wu, D.; Qian, H.; et al. An Error-Free 64KB ReRAM-Based nvSRAM Integrated to a Microcontroller Unit Supporting Real-Time Program Storage and Restoration. IEEE Trans. Circuits Syst. I 2023, 70, 5339–5351. [Google Scholar] [CrossRef]
- Izumi, S.; Yamashita, K.; Nakano, M.; Nakagawa, T.; Kitahara, Y.; Yanagida, K.; Yoshimoto, S.; Kawaguchi, H.; Kimura, H.; Marumoto, K.; et al. Normally off ECG SoC with Non-Volatile MCU and Noise Tolerant Heartbeat Detector. In Proceedings of the 2014 IEEE Biomedical Circuits and Systems Conference (BioCAS) Proceedings, Lausanne, Switzerland, 22–24 October 2014; pp. 280–283. [Google Scholar]
- Gong, H.; He, H.; Gao, B.; Tang, J.; Yu, J.; Wu, D.; Chen, J.; Zhang, Q.; Mou, X.; Qian, H.; et al. A 1-Mb Programming Configurable ReRAM Fully Integrating into a 32-Bit Microcontroller Unit. IEEE Trans. Circuits Syst. II 2023, 70, 2734–2738. [Google Scholar] [CrossRef]
- Pasotti, M.; Zurla, R.; Carissimi, M.; Auricchio, C.; Brambilla, D.; Calvetti, E.; Capecchi, L.; Croce, L.; Gallinari, D.; Mazzaglia, C.; et al. A 32-KB ePCM for Real-Time Data Processing in Automotive and Smart Power Applications. IEEE J. Solid-State Circuits 2018, 53, 2114–2125. [Google Scholar] [CrossRef]
- Szegedy, C.; Liu, W.; Jia, Y.; Sermanet, P.; Reed, S.; Anguelov, D.; Erhan, D.; Vanhoucke, V.; Rabinovich, A. Going Deeper with Convolutions. In Proceedings of the 2015 IEEE Conference on Computer Vision and Pattern Recognition (CVPR), Boston, MA, USA, 7–12 June 2015; pp. 1–9. [Google Scholar]
- Lin, C.-T.; Huang, P.X.; Oh, J.; Wang, D.; Seok, M. iMCU: A 28-nm Digital In-Memory Computing-Based Microcontroller Unit for TinyML. IEEE J. Solid-State Circuits 2024, 1–10. [Google Scholar] [CrossRef]
- Han, H.; Siebert, J. TinyML: A Systematic Review and Synthesis of Existing Research. In Proceedings of the 2022 International Conference on Artificial Intelligence in Information and Communication (ICAIIC), Jeju Island, Republic of Korea, 21–24 February 2022; pp. 269–274. [Google Scholar]
- Tsoukas, V.; Gkogkidis, A.; Kakarountas, A. Internet of Things Challenges and the Emerging Technology of TinyML. In Proceedings of the 2023 19th International Conference on Distributed Computing in Smart Systems and the Internet of Things (DCOSS-IoT), Pafos, Cyprus, 19–21 June 2023; pp. 491–495. [Google Scholar]
- Jia, H.; Valavi, H.; Tang, Y.; Zhang, J.; Verma, N. A Programmable Heterogeneous Microprocessor Based on Bit-Scalable In-Memory Computing. IEEE J. Solid-State Circuits 2020, 55, 2609–2621. [Google Scholar] [CrossRef]
- Kim, S.; Yoo, H.-J. An Overview of Computing-in-Memory Circuits with DRAM and NVM. IEEE Trans. Circuits Syst. II Express Briefs 2024, 71, 1626–1631. [Google Scholar] [CrossRef]
- Shanbhag, N.R.; Roy, S.K. Benchmarking In-Memory Computing Architectures. IEEE Open J. Solid-State Circuits Soc. 2022, 2, 288–300. [Google Scholar] [CrossRef]
- Yu, S.; Jiang, H.; Huang, S.; Peng, X.; Lu, A. Compute-in-Memory Chips for Deep Learning: Recent Trends and Prospects. IEEE Circuits Syst. Mag. 2021, 21, 31–56. [Google Scholar] [CrossRef]
- Biswas, A.; Chandrakasan, A.P. Conv-RAM: An Energy-Efficient SRAM with Embedded Convolution Computation for Low-Power CNN-Based Machine Learning Applications. In Proceedings of the 2018 IEEE International Solid-State Circuits Conference-(ISSCC), San Francisco, CA, USA, 11–15 February 2018; pp. 488–490. [Google Scholar]
- Wang, J.; Wang, X.; Eckert, C.; Subramaniyan, A.; Das, R.; Blaauw, D.; Sylvester, D. 14.2 A Compute SRAM with Bit-Serial Integer/Floating-Point Operations for Programmable In-Memory Vector Acceleration. In Proceedings of the 2019 IEEE International Solid- State Circuits Conference-(ISSCC), San Francisco, CA, USA, 17–21 February 2019; IEEE: Piscataway, NJ, USA, 2019; pp. 224–226. [Google Scholar]
- Jiang, Z.; Yin, S.; Seok, M.; Seo, J. XNOR-SRAM: In-Memory Computing SRAM Macro for Binary/Ternary Deep Neural Networks. In Proceedings of the 2018 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 18–22 June 2018; pp. 173–174. [Google Scholar]
- Si, X.; Chen, J.-J.; Tu, Y.-N.; Huang, W.-H.; Wang, J.-H.; Chiu, Y.-C.; Wei, W.-C.; Wu, S.-Y.; Sun, X.; Liu, R.; et al. 24.5 A Twin-8T SRAM Computation-In-Memory Macro for Multiple-Bit CNN-Based Machine Learning. In Proceedings of the 2019 IEEE International Solid-State Circuits Conference-(ISSCC), San Francisco, CA, USA, 17–21 February 2019; pp. 396–398. [Google Scholar]
- Jiang, Z.; Yin, S.; Seo, J.-S.; Seok, M. C3SRAM: An In-Memory-Computing SRAM Macro Based on Robust Capacitive Coupling Computing Mechanism. IEEE J. Solid-State Circuits 2020, 55, 1888–1897. [Google Scholar] [CrossRef]
- Si, X.; Tu, Y.-N.; Huang, W.-H.; Su, J.-W.; Lu, P.-J.; Wang, J.-H.; Liu, T.-W.; Wu, S.-Y.; Liu, R.; Chou, Y.-C.; et al. 15.5 A 28nm 64Kb 6T SRAM Computing-in-Memory Macro with 8b MAC Operation for AI Edge Chips. In Proceedings of the 2020 IEEE International Solid-State Circuits Conference-(ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 246–248. [Google Scholar]
- Lee, J.; Valavi, H.; Tang, Y.; Verma, N. Fully Row/Column-Parallel In-Memory Computing SRAM Macro Employing Capacitor-Based Mixed-Signal Computation with 5-b Inputs. In Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, 13–19 June 2021; pp. 1–2. [Google Scholar]
- Su, J.-W.; Chou, Y.-C.; Liu, R.; Liu, T.-W.; Lu, P.-J.; Wu, P.-C.; Chung, Y.-L.; Hung, L.-Y.; Ren, J.-S.; Pan, T.; et al. 16.3 A 28nm 384kb 6T-SRAM Computation-in-Memory Macro with 8b Precision for AI Edge Chips. In Proceedings of the 2021 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 13–22 February 2021; Volume 64, pp. 250–252. [Google Scholar]
- Wu, P.-C.; Su, J.-W.; Chung, Y.-L.; Hong, L.-Y.; Ren, J.-S.; Chang, F.-C.; Wu, Y.; Chen, H.-Y.; Lin, C.-H.; Hsiao, H.-M.; et al. A 28nm 1Mb Time-Domain Computing-in-Memory 6T-SRAM Macro with a 6.6ns Latency, 1241GOPS and 37.01TOPS/W for 8b-MAC Operations for Edge-AI Devices. In Proceedings of the 2022 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 13–22 February 2022; Volume 65, pp. 1–3. [Google Scholar]
- Hung, J.-M.; Jhang, C.-J.; Wu, P.-C.; Chiu, Y.-C.; Chang, M.-F. Challenges and Trends of Nonvolatile In-Memory-Computation Circuits for AI Edge Devices. IEEE Open J. Solid-State Circuits Soc. 2021, 1, 171–183. [Google Scholar] [CrossRef]
- Huang, W.-H.; Wen, T.-H.; Hung, J.-M.; Khwa, W.-S.; Lo, Y.-C.; Jhang, C.-J.; Hsu, H.-H.; Chin, Y.-H.; Chen, Y.-C.; Lo, C.-C.; et al. A Nonvolatile Al-Edge Processor with 4MB SLC-MLC Hybrid-Mode ReRAM Compute-in-Memory Macro and 51.4-251TOPS/W. In Proceedings of the 2023 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 19–23 February 2023; pp. 15–17. [Google Scholar]
- Joo, S.; An, Y.-J.; Oh, T.W.; Jung, S.-O. Comparative Analysis of MCU Memory for IoT Application. In Proceedings of the 2018 International Conference on Electronics, Information, and Communication (ICEIC), Honolulu, HI, USA, 24–27 January 2018; pp. 1–3. [Google Scholar]
- Strenz, R. Embedded Flash Technologies and Their Applications: Status & Outlook. In Proceedings of the 2011 International Electron Devices Meeting, Washington, DC, USA, 5–7 December 2011; IEEE: Piscataway, NJ, USA, 2011; pp. 9.4.1–9.4.4. [Google Scholar]
- Maurelli, A. Status and Perspectives of Embedded Non-Volatile Memories. In Proceedings of the 2013 International Conference on IC Design & Technology (ICICDT), Pavia, Italy, 29–31 May 2013; IEEE: Piscataway, NJ, USA, 2013; pp. 77–80. [Google Scholar]
- Strenz, R. Review and Outlook on Embedded NVM Technologies–From Evolution to Revolution. In Proceedings of the 2020 IEEE International Memory Workshop (IMW), Dresden, Germany, 17–20 May 2020; IEEE: Piscataway, NJ, USA, 2020; pp. 1–4. [Google Scholar]
- Kono, T.; Ito, T.; Tsuruda, T.; Nishiyama, T.; Nagasawa, T.; Ogawa, T.; Kawashima, Y.; Hidaka, H.; Yamauchi, T. 40-Nm Embedded Split-Gate MONOS (SG-MONOS) Flash Macros for Automotive with 160-MHz Random Access for Code and Endurance Over 10 M Cycles for Data at the Junction Temperature of 170 °C. IEEE J. Solid-State Circuits 2014, 49, 154–166. [Google Scholar] [CrossRef]
- Yamauchi, T.; Yamaguchi, Y.; Kono, T.; Hidaka, H. Embedded Flash Technology for Automotive Applications. In Proceedings of the 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2016; pp. 28.6.1–28.6.4. [Google Scholar]
- Jefremow, M.; Kern, T.; Backhausen, U.; Elbs, J.; Rousseau, B.; Roll, C.; Castro, L.; Roehr, T.; Paparisto, E.; Herfurth, K.; et al. A 65nm 4MB Embedded Flash Macro for Automotive Achieving a Read Throughput of 5.7GB/s and a Write Throughput of 1.4MB/s. In Proceedings of the 2013 Proceedings of the (ESSCIRC), Bucharest, Romania, 16–20 September 2013; pp. 193–196. [Google Scholar]
- Rosa, F.L.; Niel, S.; Regnier, A.; Maugain, F.; Mantelli, M.; Conte, A. 40nm Embedded Select in Trench Memory (eSTM) Technology Overview. In Proceedings of the 2019 IEEE 11th International Memory Workshop (IMW), Monterey, CA, USA, 12–15 May 2019; pp. 1–4. [Google Scholar]
- Nakano, M.; Kaneda, Y.; Nakanishi, S.; Murai, Y.; Tashiro, Y.; Taito, Y.; Ogawa, T.; Mitani, H.; Ito, T.; Kono, T. A 40-Nm Embedded SG-MONOS Flash Macro for High-End MCU Achieving 200-MHz Random Read Operation and 7.91-Mb/Mm2 Density with Charge-Assisted Offset Cancellation Sense Amplifier. IEEE J. Solid-State Circuits 2022, 57, 3094–3102. [Google Scholar] [CrossRef]
- Bartling, S.C.; Khanna, S.; Clinton, M.P.; Summerfelt, S.R.; Rodriguez, J.A.; McAdams, H.P. An 8MHz 75µA/MHz Zero-Leakage Non-Volatile Logic-Based Cortex-M0 MCU SoC Exhibiting 100% Digital State Retention at VDD=0V with <400ns Wakeup and Sleep Transitions. In Proceedings of the 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, CA, USA, 17–21 February 2013; pp. 432–433. [Google Scholar]
- Liu, Y.; Wang, Z.; Lee, A.; Su, F.; Lo, C.-P.; Yuan, Z.; Lin, C.-C.; Wei, Q.; Wang, Y.; King, Y.-C.; et al. 4.7 A 65nm ReRAM-Enabled Nonvolatile Processor with 6× Reduction in Restore Time and 4× Higher Clock Frequency Using Adaptive Data Retention and Self-Write-Termination Nonvolatile Logic. In Proceedings of the 2016 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 31 January–4 February 2016; pp. 84–86. [Google Scholar]
- Giordano, M.; Prabhu, K.; Koul, K.; Radway, R.M.; Gural, A.; Doshi, R.; Khan, Z.F.; Kustin, J.W.; Liu, T.; Lopes, G.B.; et al. CHIMERA: A 0.92 TOPS, 2.2 TOPS/W Edge AI Accelerator with 2 MByte On-Chip Foundry Resistive RAM for Efficient Training and Inference. In Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, 13–19 June 2021; pp. 1–2. [Google Scholar]
- Chien, T.-K.; Chiou, L.-Y.; Sheu, S.-S.; Lin, J.-C.; Lee, C.-C.; Ku, T.-K.; Tsai, M.-J.; Wu, C.-I. Low-Power MCU with Embedded ReRAM Buffers as Sensor Hub for IoT Applications. IEEE J. Emerg. Sel. Top. Circuits Syst. 2016, 6, 247–257. [Google Scholar] [CrossRef]
- Rossi, D.; Conti, F.; Eggiman, M.; Mach, S.; Mauro, A.D.; Guermandi, M.; Tagliavini, G.; Pullini, A.; Loi, I.; Chen, J.; et al. 4.4 A 1.3TOPS/W @ 32GOPS Fully Integrated 10-Core SoC for IoT End-Nodes with 1.7μW Cognitive Wake-Up From MRAM-Based State-Retentive Sleep Mode. In Proceedings of the 2021 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 13–22 February 2021; Volume 64, pp. 60–62. [Google Scholar]
- Fan, Z.; An, H.; Zhang, Q.; Xu, B.; Xu, L.; Tseng, C.-W.; Peng, Y.; Cao, A.; Liu, B.; Lee, C.; et al. Audio and Image Cross-Modal Intelligence via a 10TOPS/W 22nm SoC with Back-Propagation and Dynamic Power Gating. In Proceedings of the 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Honolulu, HI, USA, 13–17 June 2022; pp. 18–19. [Google Scholar]
- Zhang, Q.; An, H.; Fan, Z.; Wang, Z.; Li, Z.; Wang, G.; Kim, H.-S.; Blaauw, D.; Sylvester, D. A 22nm 3.5TOPS/W Flexible Micro-Robotic Vision SoC with 2MB eMRAM for Fully-on-Chip Intelligence. In Proceedings of the 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Honolulu, HI, USA, 13–17 June 2022; pp. 72–73. [Google Scholar]
- Grossier, N.; Disegni, F.; Ventre, A.; Barcella, A.; Mariani, R.; Marino, V.; Mazzara, S.; Scavuzzo, A.; Bansal, M.; Soni, B.; et al. ASIL-D Automotive-Grade Microcontroller in 28nm FD-SOI with Full-OTA Capable 21MB Embedded PCM Memory and Highly Scalable Power Management. In Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 11 June 2023; IEEE: Piscataway, NJ, USA, 2023; pp. 1–2. [Google Scholar]
- Ogawa, T.; Matsubara, K.; Taito, Y.; Saito, T.; Izuna, M.; Takeda, K.; Kaneda, Y.; Shimoi, T.; Mitani, H.; Ito, T.; et al. 15.8 A 22nm 10.8Mb Embedded STT-MRAM Macro Achieving over 200MHz Random-Read Access and a 10.4MB/s Write Throughput with an In-Field Programmable 0.3Mb MTJ-OTP for High-End MCUs. In Proceedings of the 2024 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 18–22 February 2024; Volume 67, pp. 290–292. [Google Scholar]
- Tsuji, Y.; Bai, X.; Miyamura, M.; Sakamoto, T.; Tada, M.; Banno, N.; Okamoto, K.; Iguchi, N.; Sugii, N.; Hada, H. Sub-μW Standby Power, <18 µW/DMIPS@25MHz MCU with Embedded Atom-Switch Programmable Logic and ROM. In Proceedings of the 2015 Symposium on VLSI Technology (VLSI Technology), Kyoto, Japan, 16–18 June 2015; pp. T86–T87. [Google Scholar]
- Hanyu, T.; Endoh, T.; Suzuki, D.; Koike, H.; Ma, Y.; Onizawa, N.; Natsui, M.; Ikeda, S.; Ohno, H. Standby-Power-Free Integrated Circuits Using MTJ-Based VLSI Computing. Proc. IEEE 2016, 104, 1844–1863. [Google Scholar] [CrossRef]
- Hou, Y.; Wang, K.; Liu-Sun, C.; Hang, J.; Tong, X.; Peng, C.; Wu, Y.; Ren, Y.; Bu, W.; Si, X.; et al. A Sub-100nA Ultra-Low Leakage MCU Embedding Always-on Domain Hybrid Tunnel FET-CMOS on 300mm Foundry Platform. In Proceedings of the 2023 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 9–13 December 2023; pp. 1–4. [Google Scholar]
- Natsui, M.; Suzuki, D.; Tamakoshi, A.; Watanabe, T.; Honjo, H.; Koike, H.; Nasuno, T.; Ma, Y.; Tanigawa, T.; Noguchi, Y.; et al. A 47.14-μW 200-MHz MOS/MTJ-Hybrid Nonvolatile Microcontroller Unit Embedding STT-MRAM and FPGA for IoT Applications. IEEE J. Solid-State Circuits 2019, 54, 2991–3004. [Google Scholar] [CrossRef]
- Raha, A.; Jaiswal, A.; Sarwar, S.S.; Jayakumar, H.; Raghunathan, V.; Roy, K. Designing Energy-Efficient Intermittently Powered Systems Using Spin-Hall-Effect-Based Nonvolatile SRAM. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2018, 26, 294–307. [Google Scholar] [CrossRef]
- Jew, T. MRAM in Microcontroller and Microprocessor Product Applications. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020; pp. 11.1.1–11.1.4. [Google Scholar]
- Fukuda, T.; Kohara, K.; Dozaka, T.; Takeyama, Y.; Midorikawa, T.; Hashimoto, K.; Wakiyama, I.; Miyano, S.; Hojo, T. 13.4 A 7ns-Access-Time 25μW/MHz 128kb SRAM for Low-Power Fast Wake-up MCU in 65nm CMOS with 27fA/b Retention Current. In Proceedings of the 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, USA, 9–13 February 2014; IEEE: Piscataway, NJ, USA, 2014; pp. 236–237. [Google Scholar]
- Walter, D.; Scharfe, A.; Oefelein, A.; Schraut, F.; Bauer, H.; Csaszar, F.; Niebsch, R.; Schreiter, J.; Eisenreich, H.; Höppner, S. A 0.55V 6.3uW/MHz Arm Cortex-M4 MCU with Adaptive Reverse Body Bias and Single Rail SRAM. In Proceedings of the 2020 IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS), Tokyo, Japan, 15–17 April 2020; pp. 1–3. [Google Scholar]
- Yokoyama, Y.; Miura, T.; Ouchi, Y.; Nakamura, D.; Ishikawa, J.; Nagata, S. 40-Nm 64-Kbit Buffer/Backup SRAM with 330 nW Standby Power at 65 °C Using 3.3 V 10 MOSs for PMIC Less MCU in IoT Applications. In Proceedings of the 2018 IEEE Asian Solid-State Circuits Conference (A-SSCC), Tainan, Taiwan, 5–7 November 2018. [Google Scholar]
- Yokoyama, Y.; Goto, K.; Miura, T.; Ouchi, Y.; Nakamura, D.; Ishikawa, J.; Nagata, S.; Tsujihashi, Y.; Ishii, Y. A Cost Effective Test Screening Circuit for Embedded SRAM with Resume Standby on 110-Nm SoC/MCU. In Proceedings of the 2019 IEEE Asian Solid-State Circuits Conference (A-SSCC), Macau, China, 4–6 November 2019; pp. 17–20. [Google Scholar]
- Majumdar, S. Single Bit-Line Differential Sensing Based Real-Time NVSRAM for Low Power Applications. IEEE Trans. Circuits Syst. II Express Briefs 2021, 68, 2623–2627. [Google Scholar] [CrossRef]
- Ohsawa, T.; Koike, H.; Miura, S.; Honjo, H.; Kinoshita, K.; Ikeda, S.; Hanyu, T.; Ohno, H.; Endoh, T. A 1 Mb Nonvolatile Embedded Memory Using 4T2MTJ Cell with 32 b Fine-Grained Power Gating Scheme. IEEE J. Solid-State Circuits 2013, 48, 1511–1520. [Google Scholar] [CrossRef]
- Kuk, S.-H.; Han, J.-H.; Kim, B.H.; Kim, J.; Kim, S.-H. Proposal of P-Channel FE NAND with High Drain Current and Feasible Disturbance for Next Generation 3D NAND. In Proceedings of the 2023 IEEE International Memory Workshop (IMW), Monterey, CA, USA, 21–24 May 2023; pp. 1–4. [Google Scholar]
- Takahashi, M.; Zhang, W.; Sakai, S. High-Endurance Ferroelectric NOR Flash Memory Using (Ca,Sr)Bi2Ta2O9 FeFETs. In Proceedings of the 2018 IEEE International Memory Workshop (IMW), Kyoto, Japan, 13–16 May 2018; IEEE: Piscataway, NJ, USA, 2018; pp. 1–4. [Google Scholar]
- Sharma, A.; Roy, K. 1T Non-Volatile Memory Design Using Sub-10nm Ferroelectric FETs. IEEE Electron Device Lett. 2018, 39, 359–362. [Google Scholar] [CrossRef]
- Ni, K.; Li, X.; Smith, J.A.; Jerry, M.; Datta, S. Write Disturb in Ferroelectric FETs and Its Implication for 1T-FeFET AND Memory Arrays. IEEE Electron Device Lett. 2018, 39, 1656–1659. [Google Scholar] [CrossRef]
- Yu, H.-C.; Lin, K.-C.; Lin, K.-F.; Huang, C.-Y.; Chih, Y.-D.; Ong, T.-C.; Chang, J.; Natarajan, S.; Tran, L.C. Cycling Endurance Optimization Scheme for 1Mb STT-MRAM in 40nm Technology. In Proceedings of the 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, CA, USA, 17–21 February 2013; pp. 224–225. [Google Scholar]
- Alam, S.M.; Houssameddine, D.; Neumeyer, F.; Rahman, I.; DeHerrera, M.; Ikegawa, S.; Sanchez, P.; Zhang, X.; Wang, Y.; Williams, J.; et al. Persistent xSPI STT-MRAM with up to 400MB/s Read and Write Throughput. In Proceedings of the 2022 IEEE International Memory Workshop (IMW), Monterey, CA, USA, 21–24 May 2022; pp. 1–4. [Google Scholar]
- Yang, J.; Xue, X.; Xu, X.; Wang, Q.; Jiang, H.; Yu, J.; Dong, D.; Zhang, F.; Lv, H.; Liu, M. 24.2 A 14nm-FinFET 1Mb Embedded 1T1R RRAM with a 0.022µm2 Cell Size Using Self-Adaptive Delayed Termination and Multi-Cell Reference. In Proceedings of the 2021 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 13–22 February 2021; Volume 64, pp. 336–338. [Google Scholar]
- Shao, Z.; Chang, N.; Dutt, N. PTL: PCM Translation Layer. In Proceedings of the 2012 IEEE Computer Society Annual Symposium on VLSI, Amherst, MA, USA, 19–21 August 2012; pp. 380–385. [Google Scholar]
- Jaiswal, A.; Fong, X.; Roy, K. Comprehensive Scaling Analysis of Current Induced Switching in Magnetic Memories Based on In-Plane and Perpendicular Anisotropies. IEEE J. Emerg. Sel. Top. Circuits Syst. 2016, 6, 120–133. [Google Scholar] [CrossRef]
- Sheu, S.-S.; Kuo, C.-C.; Chang, M.-F.; Tseng, P.-L.; Chih-Sheng, L.; Wang, M.-C.; Lin, C.-H.; Lin, W.-P.; Chien, T.-K.; Lee, S.-H.; et al. A ReRAM Integrated 7T2R Non-Volatile SRAM for Normally-off Computing Application. In Proceedings of the 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC), Singapore, 11–13 November 2013; IEEE: Piscataway, NJ, USA, 2013; pp. 245–248. [Google Scholar]
- Chiu, P.-F.; Chang, M.-F.; Wu, C.-W.; Chuang, C.-H.; Sheu, S.-S.; Chen, Y.-S.; Tsai, M.-J. Low Store Energy, Low VDDmin, 8T2R Nonvolatile Latch and SRAM with Vertical-Stacked Resistive Memory (Memristor) Devices for Low Power Mobile Applications. IEEE J. Solid-State Circuits 2012, 47, 1483–1496. [Google Scholar] [CrossRef]
- Dai, S.; Zhang, Y.; Zhang, H.; Li, J.; Lin, Y. A ReRAM-Based 10T2R SRAM Using Power-off Recovery Function for Reducing Power. In Proceedings of the 2021 IEEE 14th International Conference on ASIC (ASICON), Kunming, China, 26 October 2021; IEEE: Piscataway, NJ, USA, 2021; pp. 1–4. [Google Scholar]
- Lee, A.; Chang, M.-F.; Lin, C.-C.; Chen, C.-F.; Ho, M.-S.; Kuo, C.-C.; Tseng, P.-L.; Sheu, S.-S.; Ku, T.-K. RRAM-Based 7T1R Nonvolatile SRAM with 2x Reduction in Store Energy and 94x Reduction in Restore Energy for Frequent-off Instant-on Applications. In Proceedings of the 2015 Symposium on VLSI Circuits (VLSI Circuits), Kyoto, Japan, 16–18 June 2015; IEEE: Piscataway, NJ, USA, 2015; pp. C76–C77. [Google Scholar]
- Abdelwahed, A.M.S.T.; Neale, A.; Anis, M.; Wei, L. 8T1R: A Novel Low-Power High-Speed RRAM-Based Non-Volatile SRAM Design. In Proceedings of the Proceedings of the 26th Edition on Great Lakes Symposium on VLSI, Boston, MA, USA, 18–20 May 2016; ACM: Boston, MA, USA, 2016; pp. 239–244. [Google Scholar]
- Wei, W.; Namba, K.; Han, J.; Lombardi, F. Design of a Nonvolatile 7T1R SRAM Cell for Instant-on Operation. IEEE Trans. Nanotechnol. 2014, 13, 905–916. [Google Scholar] [CrossRef]
- George, S.; Ma, K.; Aziz, A.; Li, X.; Khan, A.; Salahuddin, S.; Chang, M.-F.; Datta, S.; Sampson, J.; Gupta, S.; et al. Nonvolatile Memory Design Based on Ferroelectric FETs. In Proceedings of the 53rd Annual Design Automation Conference, Austin, TX, USA, 5–9 June 2016; Association for Computing Machinery: New York, NY, USA, 2016; pp. 1–6. [Google Scholar]
- Li, X.; Wu, J.; Ni, K.; George, S.; Ma, K.; Sampson, J.; Gupta, S.K.; Liu, Y.; Yang, H.; Datta, S.; et al. Design of 2T/Cell and 3T/Cell Nonvolatile Memories with Emerging Ferroelectric FETs. IEEE Des. Test 2019, 36, 39–45. [Google Scholar] [CrossRef]
- Hoya, K.; Takashima, D.; Shiratake, S.; Ogiwara, R.; Miyakawa, T.; Shiga, H.; Doumae, S.M.; Ohtsuki, S.; Kumura, Y.; Shuto, S.; et al. A 64-Mb Chain FeRAM with Quad BL Architecture and 200 MB/s Burst Mode. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2010, 18, 1745–1752. [Google Scholar] [CrossRef]
- Takashima, D.; Nagadomi, Y.; Hatsuda, K.; Watanabe, Y.; Fujii, S. A 128 Mb Chain FeRAM and System Design for HDD Application and Enhanced HDD Performance. IEEE J. Solid-State Circuits 2011, 46, 530–536. [Google Scholar] [CrossRef]
- Belmonte, A.; Degraeve, R.; Fantini, A.; Kim, W.; Houssa, M.; Jurczak, M.; Goux, L. Origin of the Deep Reset and Low Variability of Pulse-Programmed W\Al2O3\TiW\Cu CBRAM Device. In Proceedings of the 2014 IEEE 6th International Memory Workshop (IMW), Taipei, Taiwan, 18–21 May 2014; pp. 1–4. [Google Scholar]
- Belmonte, A.; Kim, W.; Chan, B.; Heylen, N.; Fantini, A.; Houssa, M.; Jurczak, M.; Goux, L. 90nm W\Al2O3\TiW\Cu 1T1R CBRAM Cell Showing Low-Power, Fast and Disturb-Free Operation. In Proceedings of the 2013 5th IEEE International Memory Workshop, Monterey, CA, USA, 26–29 May 2013; pp. 26–29. [Google Scholar]
- Zuliani, P.; Varesi, E.; Palumbo, E.; Borghi, M.; Tortorelli, I.; Erbetta, D.; Libera, G.D.; Pessina, N.; Gandolfo, A.; Prelini, C.; et al. Overcoming Temperature Limitations in Phase Change Memories with Optimized GexSbyTez. IEEE Trans. Electron Devices 2013, 60, 4020–4026. [Google Scholar] [CrossRef]
- Close, G.F.; Frey, U.; Morrish, J.; Jordan, R.; Lewis, S.C.; Maffitt, T.; BrightSky, M.J.; Hagleitner, C.; Lam, C.H.; Eleftheriou, E. A 256-Mcell Phase-Change Memory Chip Operating at 2+ Bit/Cell. IEEE Trans. Circuits Syst. I Regul. Pap. 2013, 60, 1521–1533. [Google Scholar] [CrossRef]
- Ciocchini, N.; Palumbo, E.; Borghi, M.; Zuliani, P.; Annunziata, R.; Ielmini, D. Modeling Resistance Instabilities of Set and Reset States in Phase Change Memory with Ge-Rich GeSbTe. IEEE Trans. Electron Devices 2014, 61, 2136–2144. [Google Scholar] [CrossRef]
- Athmanathan, A.; Stanisavljevic, M.; Papandreou, N.; Pozidis, H.; Eleftheriou, E. Multilevel-Cell Phase-Change Memory: A Viable Technology. IEEE J. Emerg. Sel. Top. Circuits Syst. 2016, 6, 87–100. [Google Scholar] [CrossRef]
- Chang, M.-F.; Lin, K.-F.; Chuang, C.-H.; Huang, L.-Y.; Chien, T.-F.; Sheu, S.-S.; Su, K.-L.; Lee, H.-Y.; Chen, F.T.; Lien, C.-H.; et al. Circuit Design Challenges and Trends in Read Sensing Schemes for Resistive-Type Emerging Nonvolatile Memory. In Proceedings of the 2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology, Xi’an, China, 29 October–1 November 2012; pp. 1–4. [Google Scholar]
- Xue, C.; Zhang, Y.; Chen, P.; Zhu, M.; Wu, T.; Wu, M.; He, Y.; Ye, L. Reliability-Improved Read Circuit and Self-Terminating Write Circuit for STT-MRAM in 16 Nm FinFET. In Proceedings of the 2022 IEEE International Symposium on Circuits and Systems (ISCAS), Austin, TX, USA, 27 May–1 June 2022; pp. 595–599. [Google Scholar]
- Rajpoot, J.; Verma, S. Area-Efficient Auto-Write-Terminate Circuit for NV Latch and Logic-in-Memory Applications. IEEE Trans. Circuits Syst. II Express Briefs 2023, 70, 2630–2634. [Google Scholar] [CrossRef]
- Chang, M.; Spetalnick, S.D.; Crafton, B.; Khwa, W.-S.; Chih, Y.-D.; Chang, M.-F.; Raychowdhury, A. A 40nm 60.64TOPS/W ECC-Capable Compute-in-Memory/Digital 2.25MB/768KB RRAM/SRAM System with Embedded Cortex M3 Microprocessor for Edge Recommendation Systems. In Proceedings of the 2022 IEEE International Solid- State Circuits Conference (ISSCC), San Francisco, CA, USA, 18–22 February 2022; IEEE: Piscataway, NJ, USA, 2022; pp. 1–3. [Google Scholar]
- Wang, Z.; Liu, Y.; Lee, A.; Su, F.; Lo, C.-P.; Yuan, Z.; Li, J.; Lin, C.-C.; Chen, W.-H.; Chiu, H.-Y.; et al. A 65-Nm ReRAM-Enabled Nonvolatile Processor with Time-Space Domain Adaption and Self-Write-Termination Achieving > 4\times Faster Clock Frequency and > 6\times Higher Restore Speed. IEEE J. Solid-State Circuits 2017, 52, 2769–2785. [Google Scholar] [CrossRef]
- Wang, L.; Ye, W.; An, J.; Dou, C.; Liu, Q.; Chang, M.-F.; Liu, M. Sparsity-Aware Clamping Readout Scheme for High Parallelism and Low Power Nonvolatile Computing-in-Memory Based on Resistive Memory. In Proceedings of the 2021 IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Republic of Korea, 22–28 May 2021; pp. 1–4. [Google Scholar]
- Natsui, M.; Hanyu, T.; Sakimura, N.; Sugibayashi, T. MTJ/MOS-Hybrid Logic-Circuit Design Flow for Nonvolatile Logic-in-Memory LSI. In Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS), Beijing, China, 19–23 May 2013; pp. 105–109. [Google Scholar]
- Sakimura, N.; Nebashi, R.; Tsuji, Y.; Honjo, H.; Sugibayashi, T.; Koike, H.; Ohsawa, T.; Fukami, S.; Hanyu, T.; Ohno, H.; et al. High-Speed Simulator Including Accurate MTJ Models for Spintronics Integrated Circuit Design. In Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, Seoul, Republic of Korea, 20–23 May 2012; IEEE: Piscataway, NJ, USA, 2012; pp. 1971–1974. [Google Scholar]
- Wang, C.; Wang, Z.; Zhang, Y.; Zhao, W. Computing-in-Memory Paradigm Based on STT-MRAM with Synergetic Read/Write-like Modes. In Proceedings of the 2021 IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Republic of Korea, 22–28 May 2021; pp. 1–5. [Google Scholar]
- Wang, S.; Cai, H. Computing-in-Memory with Enhanced STT-MRAM Readout Margin. IEEE Trans. Magn. 2023, 59, 3401705. [Google Scholar] [CrossRef]
- Su, F.; Chen, W.-H.; Xia, L.; Lo, C.-P.; Tang, T.; Wang, Z.; Hsu, K.-H.; Cheng, M.; Li, J.-Y.; Xie, Y.; et al. A 462GOPs/J RRAM-Based Nonvolatile Intelligent Processor for Energy Harvesting IoE System Featuring Nonvolatile Logics and Processing-in-Memory. In Proceedings of the 2017 Symposium on VLSI Technology, Kyoto, Japan, 5–8 June 2017; pp. T260–T261. [Google Scholar]
- Natsui, M.; Suzuki, D.; Sakimura, N.; Nebashi, R.; Tsuji, Y.; Morioka, A.; Sugibayashi, T.; Miura, S.; Honjo, H.; Kinoshita, K.; et al. Nonvolatile Logic-in-Memory LSI Using Cycle-Based Power Gating and Its Application to Motion-Vector Prediction. IEEE J. Solid-State Circuits 2015, 50, 476–489. [Google Scholar] [CrossRef]
- Chih, Y.-D.; Lee, P.-H.; Fujiwara, H.; Shih, Y.-C.; Lee, C.-F.; Naous, R.; Chen, Y.-L.; Lo, C.-P.; Lu, C.-H.; Mori, H.; et al. 16.4 An 89TOPS/W and 16.3TOPS/Mm2 All-Digital SRAM-Based Full-Precision Compute-In Memory Macro in 22nm for Machine-Learning Edge Applications. In Proceedings of the 2021 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 13–22 February 2021; Volume 64, pp. 252–254. [Google Scholar]
- Yoon, J.-H.; Chang, M.; Khwa, W.-S.; Chih, Y.-D.; Chang, M.-F.; Raychowdhury, A. 29.1 A 40nm 64Kb 56.67TOPS/W Read-Disturb-Tolerant Compute-in-Memory/Digital RRAM Macro with Active-Feedback-Based Read and In-Situ Write Verification. In Proceedings of the 2021 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 13–22 February 2021; Volume 64, pp. 404–406. [Google Scholar]
- Xue, C.-X.; Huang, T.-Y.; Liu, J.-S.; Chang, T.-W.; Kao, H.-Y.; Wang, J.-H.; Liu, T.-W.; Wei, S.-Y.; Huang, S.-P.; Wei, W.-C.; et al. 15.4 A 22nm 2Mb ReRAM Compute-in-Memory Macro with 121-28TOPS/W for Multibit MAC Computing for Tiny AI Edge Devices. In Proceedings of the 2020 IEEE International Solid-State Circuits Conference-(ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 244–246. [Google Scholar]
- Garello, K.; Yasin, F.; Hody, H.; Couet, S.; Souriau, L.; Sharifi, S.H.; Swerts, J.; Carpenter, R.; Rao, S.; Kim, W.; et al. Manufacturable 300mm Platform Solution for Field-Free Switching SOT-MRAM. In Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, 10–14 June 2019; pp. T194–T195. [Google Scholar]
- Doevenspeck, J.; Garello, K.; Verhoef, B.; Degraeve, R.; Van Beek, S.; Crotti, D.; Yasin, F.; Couet, S.; Jayakumar, G.; Papistas, I.A.; et al. SOT-MRAM Based Analog in-Memory Computing for DNN Inference. In Proceedings of the 2020 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 16–19 June 2020; pp. 1–2. [Google Scholar]
- Lu, L.; Mani, A.; Do, A.T. A 129.83 TOPS/W Area Efficient Digital SOT/STT MRAM-Based Computing-In-Memory for Advanced Edge AI Chips. In Proceedings of the 2023 IEEE International Symposium on Circuits and Systems (ISCAS), Monterey, CA, USA, 21–25 May 2023; pp. 1–5. [Google Scholar]
Performance Metrics | JSSC’14 [46] | IEDM’16 [47] | ESSCIRC’13 [48] | IMW’19 [49] | ISSCC’13 [51] | ISSCC’16 [52] | JSSC’22 [53] | JETCAS’16 [54] | ISSCC’21 [55] | VLSI’22 [56] | VLSI’22 [57] | JSSC’18 [22] | VLSI’23 [58] |
---|---|---|---|---|---|---|---|---|---|---|---|---|---|
Architecture | / | / | / | / | Cortex-M0 | 8051 8 bit | RISC-V | / | RISC-V | Cortex-M0 | Cortex-M33 | / | / |
Technology | 40 nm CMOS | 28 nm CMOS | 65 nm CMOS | 40 nm CMOS | 130 nm CMOS, FRAM | 65 nm CMOS, RRAM | 40 nm CMOS, RRAM | 180 nm CMOS, RRAM | 22 nm FDSOI, MRAM | 22 nm FDX, MRAM | 22 nm CMOS, MRAM | 110 nm BCD, PCM | 28 nm FDSOI, PCM |
Capacity | 2 MB (code) 64 KB (data) | 4 MB (code) 64 KB (data) | 4 MB (code) | 1 MB | 64 KB | 8 KB | 2 MB | 256 KB | 4 MB | 2 MB | 2 MB | 32 KB | 21 MB |
Cell structure | SG-MONOS | SG-MONOS | HS3P | eSTM | / | / | 1T-1R | 1T-1R | / | / | / | 1T-1PCM | / |
Cell size [F2] | / | 0.053 | / | 0.049 | / | / | 0.64 * | 20 | / | / | / | 0.7 | 0.019 |
Supply [V] | 1.25 | 1.1 | 1.3 | 0.85–1.35 | 1.5 | 0.8 | 1.1 | 1.6/1.8 | 0.5–0.8 | 0.44–1.0 | 0.5–1.0 | 1.55–1.95 | 0.8 |
Active power [μW/MHz] | / | / | / | <150 | 112 | 33 | 135 mW | / | 49.4 mW | 387 | 158 mW | / | / |
Standby power [μW] | / | / | / | <10 | / | / | / | / | 1.7 | 70 | 468 | / | 80 * |
Max freq. [MHz] | 160 | 200 | 81.5 | / | 8 | >100 | / | 25 | 450 | 70 | 190 | 10 | 400 |
Endurance [cycles] | 10 K (code) 10 M (data) | 10 K (code) 1 M (data) | / | 10 K | / | / | > | / | / | / | / | ||
ECC | Yes | / | / | Yes | / | / | Yes | Yes | Yes | / | / | / | / |
Performance Metrics | ISSCC’14 [66] | COOL CHIPS’20 [67] | A-SSCC’18 [68] | A-SSCC’19 [69] | BioCAS’14 [20] | TCAS-I’23 [19] | VLSI’18 [64] | TCAS-Ⅱ’21 [70] |
---|---|---|---|---|---|---|---|---|
Architecture | / | Cortex-M4 | / | / | Cortex-M0 | / | MSP430 | / |
Technology | 65 nm CMOS | 22 nm FDX | 40 nm CMOS | 110 nm CMOS | 130 nm CMOS, FRAM | 130 nm CMOS, RRAM | 45 nm CMOS, MRAM | 90 nm CMOS, RRAM |
Non-volatility | N | N | N | N | Y | Y | Y | Y |
Capacity | 128 KB | 256 KB | 64 KB | 2.5 MB | 16 KB | 64 KB | 32 KB | / |
Cell structure | 6T | 6T | 6T | 6T | 6T-4C | 12T-2R | 8T-2MTJ | 4T-2R |
Cell size [F2] | 2.159 | 0.26 * | 2.888 | 1.84 * | / | 16 * | / | 2.83 |
Supply [V] | 1.2 | 0.55 | 3.3 | 1.5 | 1.2 | 1.1–2.2 | 1.1/1.6 | 1.5 |
Active power [μW/MHz] | 25 | 6.3 (MEP) | 174 (Read) 180 (Write) | 90 (Read) 105 (Write) | / | / | / | / |
Standby power [μW] | / | 6.6 | 0.33 | 0.73 | / | / | 2 | / |
Max freq. [MHz] | / | 40 | 42 | 147 | 24 | 50 | 25 | 10 * |
Performance Metrics | ISSCC’20 [36] | ISSCC’21 [109] | ISSCC’21 [38] | ISSCC’22 [39] | ISSCC’20 [111] | ISSCC’21 [110] | ISSCC’22 [100] | VLSI’19 [112] | VLSI’20 [113] | ISCAS’23 [114] |
---|---|---|---|---|---|---|---|---|---|---|
Technology | 28 nm CMOS | 22 nm CMOS | 28 nm CMOS | 28 nm CMOS | 22 nm CMOS, RRAM | 40 nm CMOS, RRAM | 40 nm CMOS, RRAM | 22 nm CMOS, MRAM | 22 nm CMOS, MRAM | 28 nm CMOS, MRAM |
Capacity | 64 KB | 64 KB | 384 KB | 1 MB | 256 KB | 8 KB | 2.25 MB | / | / | / |
Supply [V] | 0.7–0.9 | 0.72 | 0.7–0.9 | 0.65–0.9 | 0.7–0.9 | 0.9 | 0.9 | / | / | 1 |
Input Precision [bit] | 4/4/8 | 1–8 | 4/8 | 4/8 | 1–4 | 1–8 | 1–8 | / | / | 1–16 |
Weight Precision [bit] | 4/8/8 | 4/8/12/16 | 4/8 | 4/8 | 2–4 | 1–8 | 1–8 | / | 1.7 | 1–8 |
Output Precision [bit] | 12/16/20 | 16 (4b/4b) 24 (8b/8b) | 12/20 | 14/22 | 6–11 | 20 | 32 | 4 | 4 | 8–16 (1b IN) 24–32 (1b IN) |
Energy efficiency [TOPS/W] | 47.85–68.44/ 23.26–33.52/ 11.54–16.63 | 24.7 (8/8/24b) 89 (4/4/16b) | 60.28–94.31/ 15.02–22.75 | 84.45–112.6/ 21.19–27.75 | 121.38 | 56.67 | 60.64 | 9.2 | 19.6 | 25.43 (1/8/15b) 129.83 (1/1/8b) |
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content. |
© 2024 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Qi, L.; Fan, J.; Cai, H.; Fang, Z. A Survey of Emerging Memory in a Microcontroller Unit. Micromachines 2024, 15, 488. https://doi.org/10.3390/mi15040488
Qi L, Fan J, Cai H, Fang Z. A Survey of Emerging Memory in a Microcontroller Unit. Micromachines. 2024; 15(4):488. https://doi.org/10.3390/mi15040488
Chicago/Turabian StyleQi, Longning, Jinqi Fan, Hao Cai, and Ze Fang. 2024. "A Survey of Emerging Memory in a Microcontroller Unit" Micromachines 15, no. 4: 488. https://doi.org/10.3390/mi15040488
APA StyleQi, L., Fan, J., Cai, H., & Fang, Z. (2024). A Survey of Emerging Memory in a Microcontroller Unit. Micromachines, 15(4), 488. https://doi.org/10.3390/mi15040488