Next Article in Journal
MEMS Switch Realities: Addressing Challenges and Pioneering Solutions
Previous Article in Journal
Rational Design of a Surface Acoustic Wave Device for Wearable Body Temperature Monitoring
Previous Article in Special Issue
Compact Modeling of Advanced Gate-All-Around Nanosheet FETs Using Artificial Neural Network
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A Cross-Process Signal Integrity Analysis (CPSIA) Method and Design Optimization for Wafer-on-Wafer Stacked DRAM

1
Institute of Microelectronics of the Chinese Academy of Sciences, Beijing 100029, China
2
School of Integrated Circuits, University of Chinese Academy of Sciences, Beijing 100029, China
3
Xi’an UniIC Semiconductors, Xi’an 710075, China
4
School of Microelectronics, Xi’an Jiaotong University, Xianning West Road 28#, Xi’an 710049, China
*
Author to whom correspondence should be addressed.
Micromachines 2024, 15(5), 557; https://doi.org/10.3390/mi15050557
Submission received: 21 March 2024 / Revised: 16 April 2024 / Accepted: 22 April 2024 / Published: 23 April 2024
(This article belongs to the Special Issue Latest Advancements in Semiconductor Materials, Devices, and Systems)

Abstract

:
A multi-layer stacked Dynamic Random Access Memory (DRAM) platform is introduced to address the memory wall issue. This platform features high-density vertical interconnects established between DRAM units for high-capacity memory and logic units for computation, utilizing Wafer-on-Wafer (WoW) hybrid bonding and mini Through-Silicon Via (TSV) technologies. This 3DIC architecture includes commercial DRAM, logic, and 3DIC manufacturing processes. Their design documents typically come from different foundries, presenting challenges for signal integrity design and analysis. This paper establishes a lumped circuit based on 3DIC physical structure and calculates all values of the lumped elements in the circuit model with the transmission line model. A Cross-Process Signal Integrity Analysis (CPSIA) method is introduced, which integrates three different manufacturing processes by modeling vertical stacking cells and connecting DRAM and logic netlists in one simulation environment. In combination with the dedicated buffer driving method, the CPSIA method is used to analyze 3DIC impacts. Simulation results show that the timing uncertainty introduced by 3DIC crosstalk ranges from 31 ps to 62 ps. This analysis result explains the stable slight variation in the maximum frequency observed in vertically stacked memory arrays from different DRAM layers in the physical testing results, demonstrating the effectiveness of this CPSIA method.

1. Introduction

Today’s computing systems are primarily built on the von Neumann architecture, which reflects a clear separation of processing and memory units [1]. In data processing, a significant amount of data shuttles back and forth between the processing unit and the memory unit, resulting in significant latency and energy costs [2,3,4], forming a critical performance bottleneck [1,4]. The cost of performing a single multiply−accumulate operation by the processing unit is much smaller compared to the cost of moving the associated data [5,6]. The incompatibility between high-density memory processes, such as Dynamic Random Access Memory (DRAM), and logic processes, along with the increasing gap between the performance of memory and processing units, collectively contribute to the memory wall [1,2,3,4,7,8,9,10]. Several near-memory architectures have been proposed to address the memory wall problem by reducing the distance between computation and memory [11,12,13]. In particular, in the near-memory architectures where standard high-density memory and logic process components are integrated into a single package [14,15,16,17,18], cross-process design and analysis methods become a popular research topic [19,20,21].
In near-memory architectures with high-density memory and logic process components integrated into a single package, cross-process Signal Integrity (SI) design and analysis methods depend on the specific stacking architecture, as shown in Figure 1 and Table 1.
  • High Bandwidth Memory (HBM) improves the memory access performance by a Through-Silicon Via (TSV) structured 2.5D near-memory architecture [22,23]. The DRAM dies in HBM are stacked through TSVs and microbumps, forming a DRAM stack. The DRAM stack is horizontally interconnected with logic through an interposer. All DRAM dies and logic dies are independently designed with their respective I/O circuits. These I/O circuits act as isolators for cross-process analysis, segmenting the design and analysis within the HBM package into DRAM, logic, and package-based interconnections. The SI design of constructing an HBM stack is fundamentally packaging design [20].
  • Ref. [16] reports a wireless stacked Static Random Access Memory (SRAM) which utilizes semiconductor process coils to establish a vertical data path between four SRAM dies and a logic die, creating a 3D near-memory architecture. In ref. [16], there is no metal-based signal interconnect between SRAM dies and the logic die (“Power supplies are provided via bonded wires”). The interconnection between the two different semiconductor processes is achieved through a magnetic field model within the package of this structure. As a virtual model, the magnetic field model is not constrained by any stacking manufacturing process, simplifying the SI analysis of this structure.
  • Refs. [17,18] report a Stacked Embedded DRAM (SeDRAM) architecture, a noteworthy technology in the industry in recent years and the study target of this paper. SeDRAM vertically stacks DRAM dies and a logic die into a hybrid 3DIC package, resulting in the shortest physical distance for memory access at the micron level [24]. Unlike HBM’s packaging integration technology, SeDRAM utilizes a Wafer-on-Wafer (WoW) Back-End-of-the-Line (BEOL) 3DIC process for manufacturing mini-TSV and Hybrid Bonding (HB) to establish high-density vertical memory access interconnects between memory and computing units, significantly enhancing memory access efficiency [25]. In this 3DIC package, a substantial number of mini-TSV and HB cells are used for interconnecting data paths. 3DIC path of SeDRAM is driven by DRAM and logic buffers, creating a cross-process signal integrity analysis environment. As a result, three different semiconductor manufacturing processes, namely, DRAM, logic, and 3DIC, are integrated into the overall design, making it challenging to distinguish boundaries of signal integrity design and analysis. Addressing the aforementioned issues, this paper proposes the Cross-Process Signal Integrity Analysis (CPSIA) method.
In Table 1, among the three near-memory architectures, HBM offers the most convenient simulation framework because the signals across stacks are isolated by I/O. However, HBM has the lowest vertical stacking density. Wireless stacked SRAM achieves an overlapping layout between the coils of the vertical channel and the memory media, achieving an area efficiency of 1162 GB/s/mm2 [16], surpassing HBM. The SI analysis of the wireless stacked SRAM structure is conducted on a unit of stacked chips, with interconnections between stacks facilitated by virtual models. SeDRAM, leveraging WoW BEOL, greatly enhances the interconnect density across stacks. However, the I/O-less structure of SeDRAM requires a cross-process SI analysis environment that includes DRAM logic and 3DIC processes.
The SI analysis of HBM and wireless stacked SRAM among the three near-memory architectures listed in Table 1 was conducted on a unit of stacked chips, with system-level simulation implemented between the stacks. The I/O-less structure of SeDRAM requires a cross-process SI analysis environment that includes DRAM logic and 3DIC processes. The signal integrity design and analysis of the SeDRAM architecture presents a significant challenge due to its cross-process nature, encompassing the DRAM, logic, and 3DIC processes. In this hybrid architecture, the memory and computing devices are interconnected through Hybrid Bonding (HB) and mini-TSV cells, with the physical data path across different manufacturing processes in terms of libraries and design rules provided by multiple foundries. Standard Electronics Design Automation (EDA) tools do not support comprehensive SI analysis for these cross-process architectures. To establish sub-micron vertical interconnections between devices of different manufacturing processes, this cross-process vertical interconnection employs buffer drivers for the vertical interconnect units, rather than I/O circuits of HBMs [14,15] or a virtual model of wireless stacked SRAM [16]. Because of the absence of I/O circuits or a virtual model for segmenting the cross-process structure, the SI analysis of SeDRAM is geared towards buffers, essentially following the design requirements of a standard 2D chip. However, this takes place in a 3D cross-process structure. This hybrid architecture demands unique SI design approaches.
This paper addresses the cross-process design and analysis requirements for 3D vertical stacking that are compatible with three different manufacturing processes and proposes the CPSIA method for SeDRAM. This paper formulates lumped circuit models based on the 3DIC physical structure for vertical data paths, facilitating a mixed design and analysis approach that operates independently of 3DIC manufacturing processes. Based on the lumped circuit model, a CPSIA methodology is introduced. It involves the extraction of buffer netlists based on commercial DRAM and logic foundries and the use of the combination of lumped circuits to equivalently represent the vertical stacking paths. A cross-process simulation environment is established, encompassing three commercial processes in terms of DRAM logic and 3DIC. The consistency of the comparative analysis between the simulation results and the silicon results demonstrates the effectiveness of this CPSIA method.

2. Study of the 3DIC Model

This section introduces the physical structure of the vertical stacking path used to construct the multi-layer vertical stacked DRAM platform. Following the 3DIC physical structure, a lumped circuit model is proposed, and all values of the lumped elements in the circuit model are calculated with the transmission line model. A 3DIC frequency-domain analysis is demonstrated using the circuit model.

2.1. Introduction of Study Target

As shown in Figure 2, the stack of the SeDRAM is the study target of the SI analysis methodology presented in this paper. The DRAM_Near (DRAM_N), DRAM_Far (DRAM_F), and logic components are interconnected through HB and mini-TSV technologies based on the BEOL process, with DRAM_N and DRAM_F representing the DRAM dies located near and far from the logic die, respectively. The HB cell facilitates face-to-back interconnection between DRAM_N and DRAM_F, as well as face-to-face interconnection between DRAM_N and DRAM_F. Mini-TSVs are used to establish interconnections passing through the DRAM_N substrate.
The SI analysis goals for HBM and SeDRAM differ; HBM involves system-level SI analysis, while SeDRAM focuses on cross-process SI analysis. System-level SI analysis is conducted after the completion of chip design. HBM achieves DRAM design based on fixed design targets derived from the system level. It performs system-level SI analysis, including 3DIC, focusing on centralized I/O as the chip-to-chip boundary, resulting in lower analysis precision. In contrast, SeDRAM requires SI analysis for interconnections between different stacks during the design process. It involves chip design optimization based on this SI analysis, necessitating the establishment of a cross-process SI analysis environment that includes DRAM logic and 3DIC processes. The CPSIA method enables higher-precision design, simulation, and optimization during the SeDRAM stacking chip design processes, leading to improved overall system performance.
The physical vertical interconnection is driven by DRAM and logic buffers, and this vertical stacking path involves constraints from the DRAM logic and 3DIC processes separately. The 3DIC is expressed by a lumped circuit model, which helps reduce the complexity of the cross-process simulation environment.

2.2. Lumped Circuit Model of Vertical Stacking Paths

Figure 3a presents a physical model of vertical stacking paths. In this model, a 2HB+1TSV+2HB structure is employed to connect memory access signals, which is the primary focus of this work. DRAM_N is interconnected face-to-face with logic, connected by the lower Inter-Metal Dielectric 2 (IMD2) through HB cells. DRAM_F is interconnected back-to-back with DRAM_N, connected by upper IMD2 through HB cells. Mini-TSVs traverse DRAM_N to establish metal connections between the upper and lower HB layers. The circuit in DRAM_F is interconnected with the circuit in logic through the 2HB+TSV+2HB path. This vertical data path is the most complex in SeDRAM and serves as the analysis target because, in SeDRAM, the vertical data paths for the data inputs/outputs (DQs)/command and address inputs (CAs) of the two DRAM dies are individually interconnected with the logic die. For yield and impedance considerations, every two HB cells are interconnected with one mini-TSV, forming the 2HB+1TSV+2HB data path structure.
According to the rule of thumb in transmission line theory, when the length of a transmission line is smaller than 1/20 of the target wavelength (λ), lumped elements can accurately represent the electrical behavior of the transmission line [26]. The target electromagnetic wave of this paper ranges from 1 GHz to 10 GHz, and the wavelength in silicon is from 162,000 µm to 16,200 µm. The lumped model studied in this paper consists of transmission lines in the sub-10 µm range, which is much smaller than the wavelength of the target frequency, satisfying the 1/20 λ condition. Therefore, lumped elements are used to model the vertical stacking cells.
The size of vertical stacking cells is in the sub-10 µm range, as shown in Table 2, and their electrical behaviors can be approximated using lumped elements, as illustrated in Figure 3b. Lumped elements can be categorized into two groups. The first corresponds to the lumped elements resulting from the vertical stacking cells themselves, marked in blue, while the second corresponds to the lumped elements resulting from interactions between vertical stacking cells, marked in purple. The blue lumped elements form a conduction channel, and the purple lumped elements form a crosstalk channel. The simplified structures of the conduction channel and crosstalk channel are depicted in Figure 3c.
In Figure 3b, the blue lumped elements primarily represent the signal path between DRAM_F and logic dies, running from top to bottom, and include the following:
  • R HB , the equivalent resistance of the dual HB cell structure;
  • R TSV and L TSV , the equivalent resistance and inductance of the mini-TSV cell connecting the backside and top metal layers of DRAM_N;
  • C TSV , the distributed capacitance formed by the outer surface of the mini-TSV copper pillar and the DRAM_N substrate, enclosed by the insulation layer (SiO2) surrounding the TSV.
The purple lumped elements in Figure 3b arise from the medium between vertical stacking cells and manifest in two types of structures. The IMD2 is formed by the BEOL process to create HB cells, exhibiting good insulating properties but possessing a significant relative dielectric constant (see Table 3). Due to the thinning of the DRAM_N substrate, the DRAM_N substrate in the structure of Figure 3a consists solely of the p-type substrate, which has both non-ideal conductivity and a significant relative dielectric constant (see Table 3). Distributed parameters exist in the IMD2 and DRAM_N substrate media, serving as coupling channels for crosstalk between adjacent vertical stacking paths, and their equivalent lumped elements are as follows:
  • C HB , the distributed capacitance formed by the adjacent dual HB cell structures through the IMD2 medium;
  • C IMD , the distributed capacitance formed by the adjacent mini-TSV cells through the Inter-Metal Dielectric 1 (IMD1) medium (the metal layer of DRAM_N);
  • C Sub and G Sub , the equivalent capacitance and conductance formed by the adjacent mini-TSV cells through the medium of the DRAM_N substrate.
The lumped elements in the lumped circuit model can be determined using the formulas of the transmission line model, including coaxial line, two-wire line, and planar line models [27]. The calculation of these lumped elements is dependent on physical dimensions and material parameters, which are detailed in Table 2 and Table 3, respectively.
R TSV is calculated using the cylindrical resistor formula:
R TSV = ρ TSV × h TSV π × d TSV / 2 2     .
L TSV is determined through the coaxial cable model formula:
L TSV = μ 0 × μ r _ TSV 2 π × ln P TSV d TSV / 2 × h TSV     .
R HB represents the parallel resistance of two HB cells, where the resistance of each HB cell is computed in two parts based on the HB structure and using the cylindrical resistor formula:
R HB = 1 2 R HBU + R HBD = 1 2 ρ HB × h HB / 2 π × d HBU / 2 2 + ρ HB × h HB / 2 π × d HBD / 2 2 .
C TSV represents the distributed capacitance of the mini-TSV in the insulation layer, and it is calculated using the coaxial line capacitance formula:
C TSV = 1 4 2 π × ε 0 × ε r _ IL ln d TSV / 2 + t IL d TSV / 2 × h TSV h IMD 1   .
C HB denotes the distributed capacitance of the dual copper pillar structure in IMD2 and is calculated in two parts using the two-wire line capacitance formula:
C HB = C HBU + C HBD = π × ε 0 × ε r _ IMD c o s h 1 P HB d HBU × h HB 2 + π × ε 0 × ε r _ IMD c o s h 1 P HB d HBD × h HB 2   .
C IMD represents the distributed capacitance of adjacent mini-TSVs in IMD1, calculated using the two-wire line capacitance formula:
C IMD = π × ε 0 × ε r _ IMD c o s h 1 P TSV d TSV × h IMD 1 .
G Sub is the distributed conductance of adjacent mini-TSVs in the DRAM_N substrate, determined by the two-wire line capacitance formula:
G Sub = π × σ Sub c o s h 1 P TSV d TSV × h TSV h IMD 1   .
C Sub represents the equivalent capacitance of adjacent mini-TSVs in the DRAM_N substrate and is calculated using the two-wire line capacitance formula:
C Sub = π × ε 0 × ε r _ Sub c o s h 1 P TSV d TSV × h TSV h IMD 1   .
The values of all lumped elements in Figure 3b are determined using the method described above, as summarized in Table 4. Among these elements, R TSV , L TSV , R HB , and C TSV impact conduction along the signal path, whereas C HB , C IMD , G Sub , and C Sub constitute coupling channels for crosstalk between adjacent vertical stacking paths.
The lumped elements associated with conduction functionality primarily consist of, approximately, a 100 mΩ resistor and a 10 fF capacitor, affecting the data channels, which are comparable to the distributed parameters of metal layers within 2D chips. The lumped elements related to crosstalk functionality primarily consist of, approximately, a 0.1 mS conductance and a 1.5 fF capacitance, establishing crosstalk between data channels, which is comparable to the distributed parameters of metal layers within 2D chips. The scale of distributed numerical values introduced by 3DIC is not fundamentally different from 2D chip designs. Therefore, SeDRAM employs buffers to drive the vertical stacking paths and operates at the DRAM core frequency. The driving units and speeds of 3DIC are the essential differences between SeDRAM and HBM. It is necessary to conduct cross-process signal integrity analysis in combination with SeDRAM’s dedicated driving methods.

2.3. Frequency-Domain Analysis

The framework for frequency-domain analysis introduces seven channels of lumped circuits for vertical stacking paths, aiming to analyze the channel characteristics of vertical stacking paths, including 3DIC crosstalk, as illustrated in Figure 4. Channel 3 in the middle is considered the victim, while the three outer pairs of channels act as the aggressors. Fourteen terminations are distributed on both sides of the seven channels for S-parameter analysis. Each channel incorporates components such as R TSV , L TSV , R HB , and C TSV for conduction, as well as C HB , C IMD , G Sub , and C Sub for crosstalk. In this setup, channel 3 near the center of the framework is chosen as the subject of analysis.
Figure 5 illustrates the frequency-domain analysis for channel 3. In Figure 5a, the insertion loss on channel 3 is displayed in terms of the frequency response ratio between termination 7 to 8 and termination 8 to 7. Insertion loss represents the proportion of signal loss from the input to the output caused by the 3DIC path, with values closer to zero indicating better performance. The insertion loss on channel 3 is greater than −0.2 dB below 10 GHz, indicating that insertion loss is not the primary factor affecting 3DIC signal integrity. The return loss on channel 3 is depicted in Figure 5b, including the frequency response ratio between termination 7 to 7 and termination 8 to 8. Return loss characterizes the proportion of the input signal reflected back to the input terminal through the 3DIC path compared to the input signal. Typically, a value lower than −30 dB does not significantly affect channel performance. In SeDRAM, vertical stacking paths operate at the DRAM core frequency, which is lower than 1 GHz, resulting in a return loss below −34 dB, which has no significant impact on signal integrity. However, above 2.5 GHz, the return loss exceeds −25 dB, becoming a major challenge for signal integrity.
Figure 6a,b demonstrate the impacts of near-end crosstalk and far-end crosstalk on channel 3. Taking Figure 6a as an example, six frequency response ratios are overlaid, representing the near-end crosstalk impacts of the six aggressors from terminations 1, 3, 5, 9, 11, and 13. Terminations 5 and 9 are closest to termination 7 and have the greatest crosstalk impacts on termination 7, with an impact of −75 dB at 1 GHz. The farther the near-end terminations are from relative termination 7, the less their impact on termination 7. The patterns and numerical values of far-end crosstalk on channel 3 in Figure 6b are similar to near-end crosstalk. Therefore, crosstalk is not a significant challenge to signal integrity.
Figure 7 demonstrates the crosstalk on channel 3 with the impact of 3DIC lumped element variation, considering the statistical variations of the vertical stacking path. Under the 3DIC slow condition, all lumped element values of the vertical stacking path are increased by 40%, corresponding to the lowest slew rate of digital signals. Conversely, the 3DIC fast condition involves reducing all lumped element values by 40%, reflecting the highest slew rate. Among the near-end crosstalk response and the far-end crosstalk response, the 3DIC fast condition introduces the least crosstalk. Both the 3DIC fast and slow conditions introduce crosstalk response deviations of less than 6% on the basis of −65 dB at 1 GHz.
This section, in conjunction with the stacking structure and the vertical stacking cell features of the vertical stacked DRAM platform, highlights the distinct nature of WoW SI analysis: cross-process and the absence of process segmentation by I/O circuit. The lumped circuit based on the 2HB+1TSV+2HB structure is introduced to establish a modeling methodology for the vertical stacked DRAM platform. All values of the lumped elements in the circuit model are calculated with reference to the transmission line model. Frequency-domain analysis of vertical stacking paths based on lumped circuits is presented, highlighting that the impact of 3DIC channels increases with the frequency, and the influence of 3DIC channels below 1 GHz meets the design requirements of SeDRAM.

3. Cross-Process Analysis

To address the buffer driving (I/O less) method, a cross-process timing-domain analysis method is established, where the 3DIC is represented in the form of a lumped circuit; the DRAM and logic buffers are represented in netlist form, and they are integrated into one simulation environment. Employing this method, an impact analysis introduced by 3DIC crosstalk is demonstrated, coupled with memory access behavior across the 3DIC.

3.1. CPSIA Method

In the SeDRAM architecture, the vertical stacking path has a high density, and I/O-driven chip-to-chip interconnect technologies are neither necessary nor feasible. Instead, the vertical stacking path is directly driven by a buffer cell within the DRAM and logic chip. The area overhead of the driving circuit is minimal, aligning well with the high-density interconnect characteristics of the vertical stacking path. Unlike the channel analysis method with 50 ohm terminations in Section 2.3, this sub-section combines SeDRAM’s dedicated driving method for cross-process signal integrity analysis.
As shown in Figure 8, a CPSIA framework consists of three parts: two kinds of netlists of 25 nm DRAM and 28 nm logic driving buffer based on commercial foundries and the lumped circuit model of the vertical stacking path. The combination of these three simulation elements forms an integrated simulation environment, which includes three processes. This CPSIA environment establishes a 3D SI analysis method equivalent to standard 2D chip design, meeting the requirements of the I/O-less driving structure of SeDRAM and providing greater accuracy than the I/O-based SI analysis. The netlists of DRAM and logic driving buffers include the Transceivers/Receivers (TX/RX) of DRAM_N, DRAM_F, and logic, along with impedances of ZimD0, ZimD1, …, and ZimD6. These impedances represent the inner connecting metal layers between the TX/RX ports and the 3DIC logic interface. The netlists of the DRAM and logic driving buffers include analog behavior described in the DRAM and logic process libraries for signal analysis. The circuit model of the vertical stacking path consists of lumped elements corresponding to mini-TSV and HB cells, enabling cross-process simulations without the need for 3DIC process libraries.
In SeDRAM, all memory access data signals of DRAM_F and DRAM_N are independently designed, with DRAM_F having a longer vertical stacking path, which is the focus of this analysis. In this CPSIA frame, there are two SI analysis paths, as shown in Figure 8. This approach closely approximates the actual circuit’s driver and load responses, avoiding rough evaluation with a 50 ohm driver impedance.
Pseudo-Random Binary Sequence (PRBS) excitation is applied to seven channels. Due to the synchronous design in the DRAM circuit, eye diagrams of seven channels with the same direction are overlaid, resulting in two sets of eye diagrams. The first set is obtained by overlaying eye diagrams collected with seven logic buffers as the TXs on PD0−PD6, and the second set is obtained by overlaying eye diagrams collected with seven DRAM_F buffers as the TXs on PL0−PL6. The two sets of eye diagrams are shown in Figure 9, corresponding to the two signal integrity paths in Figure 9. The two eye diagrams indicating writing and reading data paths of memory access have high quality and are easily recoverable by RXs, resulting in minimal impacts on DRAM timing. Since the speed of the vertical data paths is below 1 Gbps, the impact of 3DIC is within the tolerance of the vertical stacked DRAM platform.

3.2. Impact Analysis Introduced by 3DIC

This sub-section analyzes the impact of 3DIC on memory access. Figure 10 illustrates the Logic Memory Access (LMA) path for logic reading and writing data from and to DRAM_N and DRAM_F. The red, green, and blue lines represent CAs, data writing paths (from the DRAM perspective), and data reading paths of DRAM_F. The yellow, orange, and cyan lines represent CAs, data writing paths (from the DRAM perspective), and data reading paths of DRAM_N. CAs include the command address and tCK, which are unidirectional signals from logic to DRAM, where the address goes through a decoder. Data writing and reading paths connect the DRAM array and interface through the DRAM internal data path. The design and layout of DRAM_F and DRAM_N are identical; in fact, there is only one type of DRAM used in manufacturing the 3DIC wafer, without distinction between stack layers. The design differences in the 3DIC layers enable DRAM_F to extend the data path to the logic interface through the 3DIC structure. The only distinction in the LMA path between DRAM_F and DRAM_N lies in the 3DIC path. The LMA paths of DRAM_N pass through HBs, while the LMA paths of DRAM_F go through a longer 3DIC connection (2HB+1TSV+2HB structure). The degradation of SI in LMA due to 3DIC is evident in terms of signal jitter and signal delay introduced by 3DIC.
Figure 11 expands on the focus of jitter in the overlaid eye diagrams from logic to DRAM_F. A jitter of 32 ps is observed in the channel response, including a background noise of 1 ps, leading to uncertainty in the sampling time on the DRAM and a reduction in the timing margin for sampling frequency. To isolate the impact of factors other than crosstalk on signal jitter, only the excitation of channel 3 is retained, while the remaining logic TXs are set to zero. A jitter of 1.0 ps is discovered, which is not caused by the flipping of adjacent 3DIC channels. The jitter on the channel response originates from the 3DIC crosstalk channels and is determined by the random encoding of aggressor channels.
Figure 12 presents the jitter analysis, considering the impact of driver Process Voltage and Temperature (PVT) deviations as well as 3DIC variation (see Section 2.2). The Driver FF/TT/SS conditions utilize netlists extracted from both the DRAM and logic, featuring the fastest, typical, and slowest combinations of the P-Channel Metal Oxide Semiconductor (PMOS) and the N-Channel Metal Oxide Semiconductor (NMOS). The combination of driver FF and 3DIC fast corresponds to the fastest 3DIC channel, while the combination of driver SS and 3DIC slow corresponds to the slowest 3DIC channel. Figure 12 illustrates the deviations in signal setup time under various conditions. Notably, the absolute values of time-domain jitter remain the same across the three conditions, exhibiting a phase deviation of 4 ps.
The jitter introduced by 3DIC reduces the timing margin of the sampling circuits, becoming a leading cause of the reduced maximum frequency for DRAM_F. Specifically, when writing data from logic to DRAM_F, the jitter on the DRAM data writing path (the green path in Figure 10) decreases the timing margin of the first-level data sampling in the DRAM. When reading data from DRAM_F to logic, the jitter on the DRAM data reading path (the blue path in Figure 10) reduces the timing margin of the first-level data sampling in the logic. The CAs (including clock signals) are driven from logic into DRAM_F through the red path in Figure 10; thus, the jitter on CAs reduces the timing margin of all data sampling in DRAM_F. The 31 ps jitter demonstrated in Figure 11 is a random jitter introduced by crosstalk, which is present not only on the data path but also on the clock net, resulting in a maximum timing uncertainty ranging from 31 ps to 62 ps.
The 3DIC also introduces signal transmission delay. Figure 13 includes the 3DIC driving sources of the buffers, the response of PRBS excitation in the 3DIC channel, and a response without a 3DIC channel between logic and DRAM buffers. There is a 0.7 ns delay between the two responses from the source. In particular, when zooming in on the figure, there is a 9 ps difference between the two buffer responses, using an 80% VDD threshold as the transition from low to high.
The 3DIC load introduces an additional 9 ps delay in the driving response in DRAM_F. The 3DIC delay does not impact writing data to the memory but affects reading data from memory. The data reading circuit belongs to the internal tCK clock domain of DRAM_F, while the sampling data circuit on the logic DIE belongs to the logic tCK clock domain. Only the former undergoes transmission delay introduced by the 3DIC, reducing the timing margin of the first-level sampling in logic.
The jitters introduced by the 3DIC are associated with the behavior of aggressor channels; they are random during the memory access process. Random jitters contribute to the timing uncertainty of DRAM_F memory access, ranging from 31 ps to 62 ps. Furthermore, the delay in the 3DIC path affects the timing of the first-level sampling of DRAM in logic.

3.3. Design Optimization of Vertical Stacking

The diverse combinations of mini-TSV and HB form the vertical stacking path between DRAM_F and logic, as shown in Figure 14. The eye diagrams of the 1HB+1TSV+1HB, 2HB+1TSV+2HB, and 4HB+1TSV+4HB structures are shown separately in Figure 15a, Figure 9a, and Figure 15b. The proportions of HB and TSV cells have a minimal impact on the channel. The performance of these three structures in signal transmission is similar, but they differ in terms of design resource utilization. Mini-TSV cells connect the internal metal layers of the DRAM_N to the HB layer on the backside of the DRAM_N silicon substrate, resulting in an active layer footprint on DRAM_N. Unlike HB cells that do not impact the active layer layout, the number of mini-TSV cells is constrained in DRAM design. The failure ratio of the signal HB is less than 0.1 ppm. In the case of the maximum 64Gb DRAM, there exists a requirement for 300k HB connections carrying critical signals. The employment of the 2HB+1TSV+2HB structure for critical signal connections results in a 3% yield improvement in the 64Gb near-memory product. To prevent the entire DRAM failing due to the bonding failure of a single HB cell, using the 2HB+1TSV+2HB structure to establish the vertical stacking data path represents an excellent tradeoff. Yield is a crucial focus in the large-scale production of SeDRAM. In the collaborative design, a diverse combination of mini-TSV and HB is utilized to create vertical signal and power interconnects.
  • A 1HB+1TSV+1HB structure is employed for testing signal interconnects.
  • A 2HB+1TSV+2HB structure is employed for interconnecting memory access data signals, such as DQs and CAs. Its advantages include reducing the contact resistance of HB cells in the data path and enhancing the product yield targets.
  • A 4HB+1TSV+4HB structure is utilized for the power network. Four sets of HBs in parallel are used to address the high contact resistance issue in HBs, reducing voltage drop and current density in HB cells.
Along with the dedicated buffer driving method, a CPSIA approach is proposed and utilized to analyze the 3DIC jitters, integrating DRAM logic and 3DIC designs in a simulation environment. This approach quantifies the timing uncertainty introduced by 3DIC crosstalk, ranging from 31 ps to 62 ps.

4. Physical Testing and Result Analyses

The timing uncertainty, ranging from 31 ps to 62 ps, introduced by the random behavior of aggressor channels coupled through 3DIC crosstalk, was determined. The 3DIC path represents the only difference between DRAM_F and DRAM_N, considering their identical design and layout. Therefore, the quantified 3DIC impact should manifest in the physical testing of SeDRAM. This section provides the physical testing results of the tCK shmoo in a cross-process test structure with commercial DRAM logic and 3DIC manufacturing processes. DRAM_F and DRAM_N from the same 3DIC wafer exhibit an unsymmetric distribution in maximum frequency. Subsequently, a study was conducted to explore the relationship between this phenomenon and the analysis presented in Section 3.

4.1. The Test Chip

A physical testing wafer is established with a DRAM_N, DRAM_F, and logic stacking structure, as shown in Figure 16. The logic includes DRAM test circuits and test pads used for interconnection with the test tooling. DRAM_N is vertically interconnected with the logic through HB cells; DRAM_F is vertically interconnected with the logic through HB and mini-TSV cells. The vertically interconnected units corresponding to functionally identical signals for DRAM_N and DRAM_F are physically arranged adjacently to reduce channel differences in signals with the same function across the two DRAM stacks.
The Logic, DRAM_N, and DRAM_F dies are organized into the testing chip structure through the 3DIC process, as shown in Figure 17. The Logic die includes a Design-for-Test (DFT) circuit used to test the DRAM arrays on DRAM_N and DRAM_F through their LMA interfaces. The memory access path in the test structure is consistent with the memory−compute integration application, including the 3DIC data paths from logic buffers to DRAM_F and DRAM_N buffers. This alignment is also consistent with the cross-process structure shown in Figure 8. The only distinction between the LMA interfaces of DRAM_F and DRAM_N is that the DRAM_F includes a more complex 3DIC path with an HB mini-TSV and HB structure. Under the same 2D chip design, the additional impact of 3DIC on DRAM_F exists in LMA interfaces. This leads to deviations in test results in terms of DRAM_F and DRAM_N.
tCK is the synchronous core clock of the DRAM. The tCK shmoo test follows the standard DRAM testing procedure: a fixed frequency (tCK) is set to perform read and write operations on SeDRAM. Diverse data are written into the SeDRAM, including two DRAM arrays on both DRAM_F and DRAM_N. After reading the operations, the data bus is checked at each Access Time (tAC) step. After scanning through multiple patterns, if all the DRAM arrays pass the write and read loops, the tCK shmoo is marked as a pass (in green); otherwise, it is marked as a fail (in red). The tCK shmoo is a result of extensive scanning of the DRAM arrays with multiple patterns.
Figure 18 shows the tCK shmoo test results of the double-layered DRAM test chip. The shortest tCKs for DRAM_N and DRAM_F are 1.64 ns and 1.68 ns, respectively, with DRAM_F having a slightly lower maximum frequency than DRAM_N. DRAM_F and DRAM_N are two stacked DRAM arrays on the same 3DIC wafer under the same temperature. This tCK Shmoo comparison shows that the minimum tCK (maximum frequency) of DRAM_N is better than that of DRAM_F by 40 ps. The 3DIC is the only distinction between the two DUTs of DRAM_F and DRAM_N. The impact of 3DIC is speculated to be the primary factor influencing this phenomenon.
To illustrate the performance gap between DRAM_N and DRAM_F, 12 sets of samples are depicted in Figure 19. The histogram displays the distribution of the minimum tCK differences between DRAM_F and DRAM_N for the 12 sets of samples. The test results include manufacturing deviations and testing errors, indicating that DRAM_N has a speed advantage over DRAM_F. This is reflected in two aspects: a predominance of positive values over negative values in the distribution of the difference between the tCK_min of DRAM_F and the tCK_min of DRAM_N and the average tCK_min differences, which indicate that the average tCK_min of DRAM_F is smaller than that of the tCK_min of DRAM_N by 26.67 ps. The impact of 3DIC is speculated to be the primary factor influencing this phenomenon.

4.2. Analysis of Test Results

The cross-process jitter analysis in Figure 11 shows that 3DIC crosstalk contributes to 31 ps of jitter on the LMA path, with the timing uncertainty of 31 ps introduced by the random behavior of aggressor channels coupled through 3DIC crosstalk, which is the uncertainty in both data and tCK. In the testing environment, the memory access path in DRAM_F, which includes the vertical stacking path formed by HB mini-TSV and HB cells, is distinct from DRAM_N. The tCK path uncertainty of 31 ps reduces the timing margin for all DFF/latch samplings within the DRAM, while the data path uncertainty of 31 ps reduces the timing margin for the first-level sampling in the writing path and the last-level sampling in the reading path. Therefore, this study attributes the 31–62 ps tCK period deviation observed in the tCK shmoo test results to the impact of 3DIC crosstalk.

4.3. Model Extension

While the impact of 3DIC on signal integrity meets the requirement of the analysis target below 1 Gbps, this method plays a significant role in determining the evolutionary path of this vertical stacked DRAM platform, reflected in the expansion of stacking structures and the enhancement of LMA speed.
The combination of HB and mini-TSV enables us to design higher-stacked DRAM platforms, thereby increasing DRAM density. Lumped circuit models of an eight DRAM and one logic stacking structure and of a four DRAM and one logic stacking structure were established for comparison with the two DRAM and one logic stacking structure analyzed in this paper. Following the frequency analysis method of Figure 4, it was assumed that channel 3 was the victim and channel 2 was the aggressor. The near-end and far-end crosstalk responses of term 5 and term 6 of channel 2 to term 7 of channel 3 are shown in Figure 20. As the stacking structure becomes more complex, the crosstalk introduced by 3DIC gradually increases.
According to the objective of this study, the DRAM to logic interface frequency matches the internal clock of the DRAM array. On the roadmap of SeDRAM, we will employ prefetching techniques to fetch data at 8 or 16 times the DRAM array frequency to the DRAM to logic interface, thus enabling data to flow through the DRAM to logic interface at 8 or 16 times the DRAM array frequency. Figure 21 illustrates the eye diagrams for a frequency increase to 2 Gbps and 4 Gbps in stacking structures of 4D+1L (four DRAM layers and one logic layer) and 8D+1L. Above 2 Gbps, the eye diagrams gradually degrade. Combining frequency-domain analysis, the primary cause is return loss. In this next-level structure, the impact of 3DIC cannot be ignored, and quantitative analysis and optimization using this method are necessary.
Based on the relationship between the lumped circuit model and the corresponding physical structure, it is easy to identify three quantitative optimization methods for 3DIC SI, aiming to meet the advancement of SeDRAM, in terms of the expansion of stacking structures and the enhancement of LMA speed. One approach is to increase the pitch of the vertical stacking paths. Another is to introduce direct current channels in the signal vertical stacking path array. The third method focuses on optimizing the 3DIC process through the analysis of key factors leading to 3DIC responses, including structural and material enhancements, such as C TSV sensitive to the insulation layer thickness.
The jitter analysis result provides an explanation for this interesting physical testing phenomenon, demonstrating the effectiveness of this CPSIA method. The model extension analysis for higher speeds and increased stacking structures illustrates that this method will play a crucial role in SeDRAM’s technological advancements as channel degradation progresses.

5. Conclusions

This paper highlights the distinct nature of WoW 3D multi-layer vertical stacked DRAM Platform SI analysis in terms of cross-process and the absence of process segmentation by I/O circuit. A lumped circuit based on the 3DIC physical structure is introduced to establish a modeling methodology for the vertical stacked DRAM platform. All values of the lumped elements in the circuit model are calculated with the transmission line model. In combination with the dedicated buffer driving method, the CPSIA method is proposed and used for the analysis of 3DIC jitters, integrating DRAM logic and 3DIC designs in a simulation environment, determining the timing uncertainty introduced by 3DIC crosstalk ranging from 31 ps to 62 ps. The silicon results show that the distribution of DRAM_N’s maximum frequency is better than that of DRAM_F, with the average of the tCK_min differences being 26.67 ps, demonstrating the effectiveness of this CPSIA method.

Author Contributions

Conceptualization, M.L. and X.J.; investigation, X.J. (Xiping Jiang), X.J. (Xuerong Jia), S.W. and L.G.; methodology, X.J. (Xuerong Jia), S.W., L.G. and Y.G.; validation, F.G. and X.L.; resources, F.G. and X.L.; writing—original draft preparation, X.J. (Xiping Jiang) and Y.G.; writing—review and editing, J.Y., X.J. (Xiping Jiang), X.J. (Xuerong Jia), Y.G. and S.W.; supervision, M.L., J.Y., X.J. (Xiping Jiang) and L.G. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the National Key R&D Program of China under grant no. 2019YFB2204800.

Data Availability Statement

Simulation and measurement data are available on request from the corresponding author.

Conflicts of Interest

Authors Xiping Jiang, Xuerong Jia, Song Wang, Yixin Guo, Fuzhi Guo, and Xiaodong Long were employed by the company Xi’an UniIC Semiconductors. The remaining authors declare that the research was conducted in the absence of any commercial or financial relationships that could be construed as a potential conflict of interest.

References

  1. Sebastian, S.; Gallo, M.L.; Khaddam-Aljameh, R.; Eleftheriou, E. Memory devices and applications for in-memory computing. Nat. Nanotechnol. 2020, 15, 529–544. [Google Scholar] [CrossRef] [PubMed]
  2. Khan, K.; Pasricha, S.; Kim, R.G. A Survey of Resource Management for Processing-in-Memory and Near-Memory Processing Architectures. J. Low Power Electron. Appl. 2020, 10, 30. [Google Scholar] [CrossRef]
  3. Santoro, G.; Turvani, G.; Graziano, H. New Logic-in-Memory Paradigms: An Architectural and Technological Perspective. Micromachines 2019, 10, 368. [Google Scholar] [CrossRef] [PubMed]
  4. Tian, W.; Li, B.; Li, Z.; Cui, H.; Shi, J.; Wang, Y.; Zhao, J. Using Chiplet Encapsulation Technology to Achieve Processing-in-Memory Functions. Micromachines 2022, 13, 1790. [Google Scholar] [CrossRef] [PubMed]
  5. Horowitz, M. Computing’s Energy Problem (and what we can do about it). In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 9–13 February 2014. [Google Scholar]
  6. Ke, L.; Zhang, X.; So, J.; Lee, J.G.; Kang, S.H.; Lee, S.; Han, S.; Cho, Y.G.; Kim, J.H.; Kwon, Y.; et al. Near-Memory Processing in Action: Accelerating Personalized Recommendation With AxDIMM. IEEE Micro 2022, 12, 116–127. [Google Scholar] [CrossRef]
  7. Mutlu, O.; Ghose, S.; Gómez-Luna, J.; Ausavarungnirun, R. Processing data where it makes sense: Enabling in-memory computation. Microprocess. Microsyst. 2019, 67, 28–41. [Google Scholar] [CrossRef]
  8. Spessot, A.; Oh, H. 1T-1C Dynamic Random Access Memory Status, Challenges, and Prospects. IEEE Trans. Electron. Devices 2020, 67, 1382–1393. [Google Scholar] [CrossRef]
  9. Lee, S.; Cho, H.; Son, Y.H.; Ro, Y.; Kim, N.S.; Ahn, J.U. Leveraging Power-Performance Relationship of Energy-Efficient Modern DRAM Devices. IEEE Access 2018, 6, 31387–31398. [Google Scholar] [CrossRef]
  10. Park, N.; Ryu, S.; Kung, J.; Kim, J.-J. High-throughput Near-Memory Processing on CNNs with 3D HBM-like Memory. ACM Trans. Des. Autom. Electron. Syst. 2021, 26, 1–20. [Google Scholar] [CrossRef]
  11. Bernhardt, A.; Koch, A.; Petrov, I. pimDB: From Main-Memory DBMS to Processing-In-Memory DBMS-Engines on Intelligent Memories. In Proceedings of the 19th International Workshop on Data Management on New Hardware, DaMoN ‘23, Seattle, WA, USA, 18–23 June 2023; pp. 44–52. [Google Scholar]
  12. Qureshi, Y.M.; Simon, W.A.; Zapater, M.; Olcoz, K.; Atienza, D. Gem5-X: A Many-core Heterogeneous Simulation Platform for Architectural Exploration and Optimization. ACM Trans. Archit. Code Optim. 2021, 18, 1–27. [Google Scholar] [CrossRef]
  13. Zhou, M.; Xu, W.; Kang, J.; Rosing, T. TransPIM: A Memory-based Acceleration via Software-Hardware Co-Design for Transformer. In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA), Seoul, Republic of Korea, 2–6 April 2022; pp. 1071–1085. [Google Scholar]
  14. Lee, D.U.; Cho, H.S.; Kim, J.; Ku, Y.J.; Oh, S.; Kim, C.D.; Kim, H.W.; Lee, W.Y.; Kim, T.K.; Yun, T.S.; et al. A 128 Gb 8-High 512 GB/s HBM2E DRAM with a Pseudo Quarter Bank Structure, Power Dispersion and an Instruction-Based At-Speed PMBIST. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 16–20 February 2020. [Google Scholar]
  15. Park, M.J.; Cho, H.S.; Yun, T.S.; Byeon, S.; Koo, Y.J.; Yoon, S.; Lee, D.U.; Choi, S.; Park, J.; Lee, J.; et al. A 192-Gb 12-High 896-GB/s HBM3 DRAM with a TSV Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 20–26 February 2022. [Google Scholar]
  16. Shiba, K.; Okada, M. A 7-nm FinFET 1.2-TB/s/mm2 3D-Stacked SRAM Module with 0.7-pJ/b Inductive Coupling Interface Using Over-SRAM Coil and Manchester-Encoded Synchronous Transceiver. IEEE J. Solid-State Circuits 2023, 58, 2075–2086. [Google Scholar] [CrossRef]
  17. Wang, S.; Jiang, X.; Bai, F.; Xiao, W.; Long, X.; Ren, Q.; Kang, Y. A True Process-Heterogeneous Stacked Embedded DRAM Structure Based on Wafer-Level Hybrid Bonding. Electronics 2023, 12, 1077. [Google Scholar] [CrossRef]
  18. Wang, S.; Yu, B.; Xiao, W.; Bai, F.; Long, X.; Bai, L.; Jia, X.; Zuo, F.; Tan, J.; Guo, Y.; et al. An 85 GBps/Gbit 0.66 pJ/bit Stacked DRAM with Multilayer Arrays by Fine Pitch Hybrid Bonding and Mini-TSV. In Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 11–16 June 2023. [Google Scholar]
  19. Beyene, W.; Juneja, N.; Hahm, Y.-C.; Kollipara, R.; Kim, J. Signal and Power Integrity Analysis of High-Speed Links with Silicon Interposer. In Proceedings of the IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; pp. 2377–5726. [Google Scholar]
  20. Kim, J.; Pak, J.P.; Cho, J.; Song, E.; Cho, J.; Kim, H.; Song, T.; Lee, J.; Lee, H.; Park, K.; et al. High-Frequency Scalable Electrical Model and Analysis of a Through Silicon Via (TSV). IEEE Trans. Compon. Packag. Manuf. Technol. 2011, 1, 181–195. [Google Scholar]
  21. Tsai, Y.C.; Lee, C.H.; Chang, H.C.; Liu, J.H.; Hu, H.W.; Ito, H.; Kim, Y.S.; Ohba, T.; Chen, K.-N. Electrical Characteristics and Reliability of Wafer-on-Wafer (WOW) Bumpless Through-Silicon Via. IEEE Trans. Electron. Devices 2021, 68, 3520–3525. [Google Scholar] [CrossRef]
  22. Amin Farmahini-Farahani, A.; Gurumurthi, S.; Loh, G.; Ignatowski, M. Challenges of High-Capacity DRAM Stacks and Potential Directions. In Proceedings of the MCHPC’18: Proceedings of the Workshop on Memory Centric High Performance Computing, Dallas, TX, USA, 4–13 November 2018. [Google Scholar]
  23. Sakui, K.; Ohba, T. High Bandwidth Memory (HBM) and High Bandwidth NAND (HBN) with the Bumpless TSV Technology. In Proceedings of the International 3D Systems Integration Conference (3DIC), Sendai, Japan, 8–10 October 2019. [Google Scholar]
  24. Bai, F.; Jiang, X.; Wang, S.; Yu, B.; Tan, J.; Zuo, F.; Wang, C.; Wang, F.; Long, X.; Yu, G.; et al. A Stacked Embedded DRAM Array for LPDDR4/4X using Hybrid Bonding 3D Integration with 34 GB/s/1 Gb 0.88 pJ/b Logic-to-Memory Interface. In Proceedings of the IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020. [Google Scholar]
  25. Niu, D.; Li, S.; Wang, Y.; Han, W.; Zhang, Z.; Guan, Y.; Guan, T.; Sun, F.; Xue, F.; Duan, L.; et al. 184QPSW 64 Mb/mm2 3D Logic-to-DRAM Hybrid Bonding with Process-Near-Memory Engine for Recommendation System. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 20–26 February 2022. [Google Scholar]
  26. Cho, J.; Song, E.; Yoon, K.; Pak, J.S.; Kim, J.; Lee, W.; Song, T.; Kim, K.; Lee, J.; Lee, H.; et al. Modeling and Analysis of Through-Silicon Via (TSV) Noise Coupling and Suppression Using a Guard Ring. IEEE Trans. Compon. Packag. Manuf. Technol. 2011, 1, 220–233. [Google Scholar] [CrossRef]
  27. Sadiku, M.N.O. Transmission Lines. In Book Elements of Electromagnetics, 7th ed.; Sedra, A.S., Ed.; Oxford University Press: New York, NY, USA, 2018; Volume II, pp. 554–555. [Google Scholar]
Figure 1. Three near-memory architectures with high-density memory and logic process components integrated into a single package.
Figure 1. Three near-memory architectures with high-density memory and logic process components integrated into a single package.
Micromachines 15 00557 g001
Figure 2. The stacking structure and vertical stacking cells of the SeDRAM.
Figure 2. The stacking structure and vertical stacking cells of the SeDRAM.
Micromachines 15 00557 g002
Figure 3. (a) Physical structure of vertical stacking paths. (b) Lumped circuit model of vertical stacking paths. (c) Simplified structures of the conduction channel and crosstalk channel.
Figure 3. (a) Physical structure of vertical stacking paths. (b) Lumped circuit model of vertical stacking paths. (c) Simplified structures of the conduction channel and crosstalk channel.
Micromachines 15 00557 g003
Figure 4. Frequency-domain analysis frame.
Figure 4. Frequency-domain analysis frame.
Micromachines 15 00557 g004
Figure 5. Frequency-domain analysis. (a) Insertion loss of channel 3. (b) Return loss of channel 3.
Figure 5. Frequency-domain analysis. (a) Insertion loss of channel 3. (b) Return loss of channel 3.
Micromachines 15 00557 g005
Figure 6. Frequency-domain analysis for the impacts of crosstalk on channel 3. (a) Impacts of near-end crosstalk on termination 7. (b) Impacts of far-end crosstalk on termination 7.
Figure 6. Frequency-domain analysis for the impacts of crosstalk on channel 3. (a) Impacts of near-end crosstalk on termination 7. (b) Impacts of far-end crosstalk on termination 7.
Micromachines 15 00557 g006
Figure 7. Frequency-domain analysis for the crosstalk on channel 3 with the impact of 3DIC lumped element variation. (a) Near-end crosstalk on termination 7. (b) Far-end crosstalk on termination 7.
Figure 7. Frequency-domain analysis for the crosstalk on channel 3 with the impact of 3DIC lumped element variation. (a) Near-end crosstalk on termination 7. (b) Far-end crosstalk on termination 7.
Micromachines 15 00557 g007
Figure 8. CPSIA frame.
Figure 8. CPSIA frame.
Micromachines 15 00557 g008
Figure 9. Overlaid eye diagrams at 1 Gbps for the signal integrity analysis. (a) Overlaid eye diagrams from logic to DRAM_F. (b) Overlaid eye diagrams from DRAM_F to logic.
Figure 9. Overlaid eye diagrams at 1 Gbps for the signal integrity analysis. (a) Overlaid eye diagrams from logic to DRAM_F. (b) Overlaid eye diagrams from DRAM_F to logic.
Micromachines 15 00557 g009
Figure 10. Logic memory access paths.
Figure 10. Logic memory access paths.
Micromachines 15 00557 g010
Figure 11. Jitter analysis of data path.
Figure 11. Jitter analysis of data path.
Micromachines 15 00557 g011
Figure 12. Jitter analysis on data paths with the impact of driver PVT deviation and 3DIC variation.
Figure 12. Jitter analysis on data paths with the impact of driver PVT deviation and 3DIC variation.
Micromachines 15 00557 g012
Figure 13. The impact of transmission delay on DRAM_N.
Figure 13. The impact of transmission delay on DRAM_N.
Micromachines 15 00557 g013
Figure 14. The physical structures of vertical stacking paths: (a) the 1HB+1TSV+1HB structure; (b) the 2HB+1TSV+2HB structure; (c) the 4HB+1TSV+4HB structure.
Figure 14. The physical structures of vertical stacking paths: (a) the 1HB+1TSV+1HB structure; (b) the 2HB+1TSV+2HB structure; (c) the 4HB+1TSV+4HB structure.
Micromachines 15 00557 g014
Figure 15. Overlaid eye diagrams from logic to DRAM_F: (a) the 1HB+1TSV+1HB structure; (b) the 4HB+1TSV+4HB structure.
Figure 15. Overlaid eye diagrams from logic to DRAM_F: (a) the 1HB+1TSV+1HB structure; (b) the 4HB+1TSV+4HB structure.
Micromachines 15 00557 g015
Figure 16. Testing chip environment.
Figure 16. Testing chip environment.
Micromachines 15 00557 g016
Figure 17. Testing chip structure.
Figure 17. Testing chip structure.
Micromachines 15 00557 g017
Figure 18. DRAM tCK test shmoo. (a) DRAM_F_N. (b) DRAM.
Figure 18. DRAM tCK test shmoo. (a) DRAM_F_N. (b) DRAM.
Micromachines 15 00557 g018
Figure 19. The distribution of tCK_min differences between DRAM_F and DRAM_N.
Figure 19. The distribution of tCK_min differences between DRAM_F and DRAM_N.
Micromachines 15 00557 g019
Figure 20. Near-end and far-end crosstalk on termination 8 in the 2D+1L 4D+1L and 8D+1L structures.
Figure 20. Near-end and far-end crosstalk on termination 8 in the 2D+1L 4D+1L and 8D+1L structures.
Micromachines 15 00557 g020
Figure 21. Overlaid eye diagrams from logic to DRAM_F: (a) the 2 Gbps on 4D+1L structure; (b) the 2 Gbps 8D+1L structure; (c) the 4 Gbps on 4D+1L structure; (d) the 4 Gbps 8D+1L structure.
Figure 21. Overlaid eye diagrams from logic to DRAM_F: (a) the 2 Gbps on 4D+1L structure; (b) the 2 Gbps 8D+1L structure; (c) the 4 Gbps on 4D+1L structure; (d) the 4 Gbps 8D+1L structure.
Micromachines 15 00557 g021
Table 1. Comparison of cross-process structures.
Table 1. Comparison of cross-process structures.
HBM [14,15]Wireless Stacked SRAM [16]SeDRAM [17,18]
Integration2.5D3D3D
MemoryDRAMHigh-density SRAMDRAM
Stacked Structure4~8 memory + 1 logic4 memory + 1 logic2~8 memory + 1 logic
Vertical InterconnectionTSV + microbump + interposerNoneHB + mini-TSV
MetalWirelessMetal
PackageNoneWoW BEOL
Related ProcessesDRAM and logicLogic 1 and logic 2DRAM, logic, and 3DIC
Interface between Stacked Memory and LogicI/OCoil on logic dieBuffer
Separation of ProcessesYes, by I/O circuitYes, by magnetic fieldNo
SI Analysis MethodPackage-based validationVirtual modelCPSIA
Table 2. Physical dimensions of the model indicated in Figure 3a.
Table 2. Physical dimensions of the model indicated in Figure 3a.
DimensionsValueUnitDescription
TSV h TSV 10 μ m TSV height
d TSV 2.5 μ m TSV diameter
P TSV 6 μ m TSV pitch
HB h HB 2 μ m HB height
d HB U 0.6 μ m Up part of HB diameter
d HB D 1.5 μ m Down part of HB diameter
P HB 3 μ m HB pitch
Insulation Layer t IL 0.2 μ m Insulation layer thick
IMD1 h IMD 1 3 μ m IMD1 height
Table 3. Material parameters of the model indicated in Figure 3a.
Table 3. Material parameters of the model indicated in Figure 3a.
ParametersValueUnitDescription
TSV ρ TSV 1.68 × 10 8 Ω · m Resistivity of TSV (Cu)
μ r _ TSV 1\Relative permeability of TSV
HB ρ HB 1.68 × 10 8 Ω · m Resistivity of HB (Cu)
Si Sub σ Sub 10 S / m Conductivity of Si Sub
ε r _ Sub 11.9 \Relative permittivity of Si Sub
IMD1/IMD2 ε r _ IMD 4.1 \Relative permittivity of IMD
Insulation Layer ε r _ IL 4.1 \Relative permittivity of insulator
Free Space ε 0 8.854 × 10 12 F / m Permittivity of free space
μ 0 1.257 × 10 6 H / m Permeability of free space
Table 4. Lumped element values of the model indicated in Figure 3b.
Table 4. Lumped element values of the model indicated in Figure 3b.
FunctionMediumParametersValue UnitDescription
ConductionTSV R TSV 34.22 m Ω Equivalent resistance of mini-TSV
L TSV 3.138 p H Equivalent inductance of the dual HB structure
HB R HB 34.46 m Ω Equivalent resistance of the dual HB structure
Insulation Layer C TSV 2.689 f F Distributed capacitance between the mini-TSV copper pillar and the DRAM_N substrate
CrosstalkIMD2 C HB 0.136 f F Distributed capacitance between adjacent dual HBs
IMD1 C IMD 0.225 f F Distributed capacitance between adjacent mini-TSVs
Si Sub G Sub 0.144mSEquivalent conductance of SI Sub
C Sub 1.522 f F Equivalent capacitance of SI Sub
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Jiang, X.; Jia, X.; Wang, S.; Guo, Y.; Guo, F.; Long, X.; Geng, L.; Yang, J.; Liu, M. A Cross-Process Signal Integrity Analysis (CPSIA) Method and Design Optimization for Wafer-on-Wafer Stacked DRAM. Micromachines 2024, 15, 557. https://doi.org/10.3390/mi15050557

AMA Style

Jiang X, Jia X, Wang S, Guo Y, Guo F, Long X, Geng L, Yang J, Liu M. A Cross-Process Signal Integrity Analysis (CPSIA) Method and Design Optimization for Wafer-on-Wafer Stacked DRAM. Micromachines. 2024; 15(5):557. https://doi.org/10.3390/mi15050557

Chicago/Turabian Style

Jiang, Xiping, Xuerong Jia, Song Wang, Yixin Guo, Fuzhi Guo, Xiaodong Long, Li Geng, Jianguo Yang, and Ming Liu. 2024. "A Cross-Process Signal Integrity Analysis (CPSIA) Method and Design Optimization for Wafer-on-Wafer Stacked DRAM" Micromachines 15, no. 5: 557. https://doi.org/10.3390/mi15050557

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop