Next Article in Journal
Machining Accurate Deep Curved Forms on Tungsten Carbide–Cobalt (WC-Co) Eliminating Tool Wear in the Electrical Discharge Turning Operation
Next Article in Special Issue
Analysis of Dynamic Stability Control of Light Source in Immersion DUV Lithography
Previous Article in Journal
Enhancing the Machinability of Sapphire via Ion Implantation and Laser-Assisted Diamond Machining
Previous Article in Special Issue
EAAUnet-ILT: A Lightweight and Iterative Mask Optimization Resolution with SRAF Constraint Scheme
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Patterning Fidelity Enhancement and Aberration Mitigation in EUV Lithography Through Source–Mask Optimization

1
School of Micro-Electronics, Fudan University, Shanghai 200433, China
2
National Integrated Circuit Innovation Center, Shanghai 201204, China
*
Author to whom correspondence should be addressed.
Micromachines 2025, 16(10), 1166; https://doi.org/10.3390/mi16101166
Submission received: 8 September 2025 / Revised: 1 October 2025 / Accepted: 13 October 2025 / Published: 14 October 2025
(This article belongs to the Special Issue Recent Advances in Lithography)

Abstract

Extreme ultraviolet (EUV) lithography faces critical challenges in aberration control and patterning fidelity as technology nodes shrink below 3 nm. This work demonstrates how Source–Mask Optimization (SMO) simultaneously addresses both illumination and mask design to enhance pattern transfer accuracy and mitigate aberrations. Through a comprehensive optimization framework incorporating key process metrics, including critical dimension (CD), exposure latitude (EL), and mask error factor (MEF), we achieve significant improvements in imaging quality and process window for 40 nm minimum pitch patterns, representative of 2 nm node back-end-of-line (BEOL) requirements. Our analysis reveals that intelligent SMO implementation not only enables robust patterning solutions but also compensates for inherent EUV aberrations by balancing source characteristics with mask modifications. On average, our results show a 4.02% reduction in CD uniformity variation, concurrent with a 1.48% improvement in exposure latitude and a 5.45% reduction in MEF. The proposed methodology provides actionable insights for aberration-aware SMO strategies, offering a pathway to maintain lithographic performance as feature sizes continue to scale. These results underscore SMO’s indispensable role in advancing EUV lithography capabilities for next-generation semiconductor manufacturing.

1. Introduction

Optical lithography serves as a fundamental manufacturing process for producing very large-scale integrated circuits. As the semiconductor industry continues to push the boundaries of miniaturization and performance, extreme ultraviolet lithography (EUVL) has become indispensable for fabricating advanced integrated circuits (ICs) with sub-seven-nanometer node features [1]. The progression toward nanoscale fabrication presents escalating challenges in maintaining both pattern fidelity and process robustness [2]. Modern lithography systems must contend with numerous interdependent parameters that frequently exhibit non-ideal behavior, resulting in complex coupling effects that amplify process variability. A fundamental constraint of lithography originates from the diffraction limit, particularly when implementing aggressive design rules that demand minimum pitches below 40 nm [3].
To address these challenges, Source–Mask Optimization (SMO) has established itself as a critical computational lithography technique since the 22 nm technology node [4]. By simultaneously optimizing both illumination source profiles and mask patterns, SMO enhances resolution while expanding the process window [5,6,7]. Through advanced iterative algorithms that generate optimized freeform illumination sources, SMO effectively mitigates diffraction-induced limitations, enabling robust patterning at reduced pitches while preserving sufficient process window margins. As an extension of EUV lithography capabilities, SMO has demonstrated measurable improvements in image contrast, edge placement accuracy, and overall pattern fidelity, particularly critical for aggressive sub-7 nm node design rules [8,9]. Multiple optimization algorithms [10], including gradient-based methods [11], genetic algorithms [12], and augmented Lagrangian methods [13] have been introduced to significantly accelerate computational speed while maintaining imaging quality [14]. Wu et al. introduce the weighted Zernike polynomials as basis functions to represent the source patterns, suggesting a structural parallel between source optimization and optical aberration characterization [15].
One of the critical challenges in EUV lithography is its aberration controls [16]. Aberration refers to the deviation in an actual wavefront from its ideal shape, resulting in significant discrepancies between observed imaging results and theoretical expectations. Optical aberrations in the lithography system will introduce wavefront distortions that degrade pattern fidelity. The wavefront aberrations are conventionally decomposed into orthogonal Zernike polynomials within circular pupil coordinates. This orthogonal decomposition provides an efficient mathematical framework for quantifying the distinct impacts of various aberration components on imaging performance [17]. For effective aberration control, scanners must minimize the coefficients of individual Zernike to realize aberration compensation and optimization. Therefore, adaptive optics with adjustable mirrors and stages has been employed to dynamically correct lower-order aberrations, while advanced wavefront sensors and optimization strategies are used to further mitigate higher-order components [18]. The compensation approach enables sub-nanometer wavefront accuracy, though practical limitations remain in simultaneously optimizing all aberration modes across the entire exposure field [19]. Nevertheless, current technological limitations prevent complete aberration elimination, resulting in residual aberrations that inevitably impact lithographic performance.
In the following sections, we demonstrate SMO’s capability to compensate for aberration-induced imaging degradation. First, we model forward aerial image formation in partially coherent systems using Abbe imaging theory with Zernike coefficient analysis. Then the SMO framework and its implementation methodology are presented. Subsequent analysis quantifies process window enhancement through SMO optimization. The study further characterizes aerial image distortion under typical lens aberration conditions. Finally, we employ SMO to actively compensate for wavefront distortions, achieving both measurable critical dimension error reduction and notable process window improvement. Crucially, our results demonstrate that SMO effectively compensates for both isolated aberration and complex mixed-mode errors incorporating random higher-order components. This performance establishes SMO as an essential complement to hardware-based aberration correction approaches, ultimately enabling the sub-nanometer patterning fidelity required for sub-7 nm node manufacturing.

2. Theory and Methodology

2.1. Hardware Foundation of SMO

Figure 1a illustrates the EUV imaging system architecture. In this system, light from the EUV source illuminates the reflective mask through the illumination optics at a specified chief ray angle at object (CRAO, 6° oblique incidence for 0.33 NA EUV). The projection optics then collects and recombines the diffracted orders generated by mask reflection, ultimately transferring the patterned information to the wafer plane. However, due to the diffraction-limited nature of the optical system and the complex physicochemical processes occurring in the resist layer, the printed wafer patterns will exhibit distortions relative to the target design.
The hardware implementation of SMO, illustrated in Figure 1b, is physically implemented through a dual-mirror illumination system consisting of field facet mirrors (FFMs) and pupil facet mirrors (PFMs). Through independent rotation of each facet mirror, the system achieves tailored illumination configurations, with the FFM governing mask-plane uniformity and the PFM dictating pupil-plane source characteristics. The EUV lithography illumination system employs a 49 × 49 array of mutually independent pixels based on the PFM grid structure, enabling precise angular control of EUV radiation for generating optimized source profiles. The synchronized operation of these mirror arrays affords the essential degrees of freedom required to realize illumination customization that is critical for SMO’s wavefront correction capabilities [20,21].

2.2. EUV Abbe Imaging Model

Source–Mask Optimization fundamentally relies on computational analysis of the aerial image intensity distribution at the wafer plane for specified source and mask configurations [22]. According to Abbe’s theory of partially coherent imaging, the aerial image intensity I(x,y) is given by the following equation:
I x , y = S f , g H f + f , g + g O f , g e i 2 π f x + g y d f d g 2 d f d g ,
where ( x , y) denote the normalized spatial coordinates in the image plane, ( f , g ) represent the normalized spatial frequency coordinates in the pupil plane, and ( f , g ) correspond to the normalized spatial frequency coordinates of the diffracted orders. The aerial image intensity I is determined by the Fourier transforms of the source pattern S, the pupil function H of the projection optics (which acts as a low-pass filter), and the mask’s diffraction spectrum O generated through reflection [23]. The overall image is the sum of weighted coherent images [24]. As evident, aberrations directly influence the pupil function, whereas SMO compensates by modifying both the pupil and mask functions. This inherent correlation fundamentally enables SMO to effectively mitigate aberrations in lithography systems.
In DUV lithography, the diffraction spectrum O( f , g ) is conventionally computed using the Kirchhoff thin-mask approximation, obtained through the Fourier transform of the mask pattern M x m , y m , where x m , y m denote the normalized spatial coordinates in the object plane (mask plane) [25]. The Kirchhoff thin-mask model becomes inadequate for EUV lithography due to oblique incidence effects and pronounced mask 3D topography [26]. Figure 2a illustrates the EUV mask architecture, where θ is the incident angle and φ is the azimuthal angle of illumination. The mask structure primarily consists of a TaN absorber layer on the Mo/Si multilayer reflector. Figure 2b depicts the annular illumination slit configuration in EUV systems, maintaining a 6° chief ray angle at object (CRAO) while the azimuth angle varies continuously between −30° and 30°.
To model mask 3D effects in EUV lithography, rigorous coupled-wave analysis (RCWA) is chosen to model the multilayer mask structure (including absorber, multilayer reflector, and substrate). The multilayer will be discretized into a stack of periodic grating layers. RCWA solves Maxwell’s equations by expanding the electromagnetic fields into spatial harmonics in each layer, accounting for near-field diffraction, edge effects, and phase interactions caused by the mask topography. The reflected field is computed by matching boundary conditions at each interface, capturing 3D effects including shadowing and pattern-dependent intensity asymmetry. By incorporating the computed mask 3D effect into the imaging model, RCWA enables accurate prediction of EUV mask 3D performance, considering critical dimension (CD) errors and contrast loss due to mask 3D effects. For efficient simulation, trade-offs between harmonic truncation orders of diffraction, density of layer discretization, grid of segmentation and computational cost have been optimized [27].

2.3. Aberrations with Zernike Polynomials

Optical aberrations critically degrade imaging performance, manifesting as pattern placement errors, contrast reduction, and resolution loss. These wavefront distortions are systematically quantified through decomposition into Zernike polynomials, which form a complete orthogonal basis set for representing aberrations. Each Zernike term corresponds to a specific aberration mode: spherical (Z9), coma (Z7, Z8), astigmatism (Z5, Z6), or trefoil (Z10, Z11) and higher-order aberrations. The optical path difference (OPD) of wavefront data is decomposed into Zernike coefficients, which are a sequence of orthogonal basis functions:
O P D ( ρ , θ ) = l a l   Z l ( ρ , θ )
where Zl is the lth Zernike polynomial and a l is the RMS deviation in the coefficient representing aberration strength.
The application of Zernike polynomials in lithography is particularly critical due to the stringent requirements for CD accuracy, feature resolution and process window control in advanced semiconductor manufacturing [28]. In DUV, scanners allow feedback aberration control by tuning and correction through lens alignment or heating, ensuring optimal imaging fidelity for high-resolution patterning. EUV systems’ operation at 13.5 nm wavelength makes them particularly sensitive to wavefront distortions, where even sub-nanometer-scale aberrations can induce significant phase errors that degrade aerial image quality. Conventional optical correction methods alone are inadequate for these conditions, demanding advanced computational compensation strategies. These include optical proximity correction (OPC) to mitigate critical dimension (CD) bias, and SMO to compensate optical aberrations and maintain patterning fidelity [29].

2.4. EUV-SMO Build-Up

In SMO, both the illumination source and photomask must be computationally encoded [30]. Conventional source patterns require few parameters for description, resulting in small-scale optimization problems, while freeform sources employ thousands of variables to achieve greater flexibility. EUV source is constructed by discretizing the illumination into a 49 × 49 matrix, where each grayscale pixel corresponds to the intensity of a source point within the continuum range from 0 to 1 [31].
The mask is constructed by parameterizing the mask layout into a pixelated transmission matrix M(x,y), where each element represents the transmission coefficient of the corresponding mask region. For computational efficiency, the mask is typically constrained to binary or discrete transmission levels (e.g., 0/1 for absorbers in EUV masks). To maintain pattern fidelity while reducing optimization variables, the mask model must incorporate 3D effects through RCWA to accurately simulate diffraction behavior under realistic EUV multilayer conditions.
The goal of SMO is to determine the optimal source distribution S ( f , g ) and mask patterns M(x,y) that minimize the cost function C, which typically incorporates pattern fidelity, process window robustness, and manufacturability metrics. The difference between the resist image and the target pattern is a key metric for evaluating image fidelity in lithography. The resist image Ir(x,y) is derived from the aerial image I(x,y) using a sigmoid function that models the resist’s response to light exposure:
I r x , y = s i g   I x , y   = 1   1 + e α I x , y t r ,
Here, tr represents the threshold in the photoresist effect, and α denotes the steepness of the sigmoid function. The image fidelity term Rimg is then defined as follows:
R i m g S f , g + M ( x , y ) = x ,   y I r x , y I t x , y 2 2   ,
Source–Mask Optimization process must not only preserve image fidelity but also ensure a sufficient process window for the exposed patterns, including critical metrics such as exposure latitude (EL) and mask error factor (MEF). Only by satisfying these conditions can the imaging quality be guaranteed. Therefore the overall optimization can be formulated as follows:
C   S f , g + M ( x , y ) = a r g   m i n   R i m g + τ R p w     ,
where τ is a weight assigned to the process window term R p w . To achieve this objective, optimization algorithms such as the conjugate gradient method can be iteratively employed to optimize the mask pattern and source shape.

2.5. EUV-SMO Flow

To investigate the optimization of EUV lithography process metrics and aberrations, we first establish a comprehensive simulation framework, as shown in Figure 3. This framework details the simulation setup, incorporating the SMO input, workflow, and evaluation methodologies to systematically assess SMO’s impact on EUV patterning performance.
The EUV-SMO process begins by defining the representative target layout and setting up an initial source configuration, typically using conventional illumination modes (1.a). The test patterns with cut lines are designed to evaluate imaging quality (1.b), while critical lithography conditions such as exposure dose, resist model, and mask 3D effects (including EUV-specific shadowing and multilayer reflections, 1.c) are accounted for. Other simulation parameters are carefully configured with appropriate segmentation (e.g., 2.5 nm) to balance accuracy and computational efficiency during iterative optimization (1.d).
The SMO procedure concurrently optimizes illumination source profiles and mask pattern parameters. Sub-resolution assist features (SRAFs) are incorporated into the mask design (2.a), while mask error factor (MEF) constraints guide mask modifications to maintain pattern fidelity under defocus conditions (2.b). Primary optical proximity correction (OPC) is first applied to the mask design prior to conducting aerial image simulations with the modified mask patterns (2.c, 2.d). Then, the illumination source progressively develops into an optimized freeform profile through automated evaluation of cost functions that quantify both edge placement errors and image contrast metrics (2.e, 2.f). The optimization framework generates a production-viable source pupil design (3.a) and associated mask corrections (3.b), which are subsequently evaluated through comprehensive process window analysis (3.c) to validate performance stability across diverse test patterns under focus-exposure variations (3.d, 3.e).

3. Results and Discussion

3.1. SMO Simulation Settings

In SMO, the initial source design constitutes a critical prior that fundamentally governs both the convergence behavior and computational efficiency of the optimization process. Comparing with other conventional source geometries, such as circular, annular, dipole, and quadrupole configurations, quasar illumination has unique advantages for bidirectional pattern design, with iterative adaptive refinement serving to further expand the available process window. Other EUV parameters were configured as follows: numerical aperture (NA) of 0.33, unpolarized illumination, a wavelength of 13.5 nm, exposure energy of 55 mJ/cm2, resist thickness of 50 nm (refractive index: 1.0 + 0.025i), photo-acid diffusion length of 4 nm, and DC flare of 5%.
We employ representative test patterns to effectively capture the essential challenges of back-end-of-line (BEOL) metal layers while maintaining computational tractability by avoiding full-chip-scale simulations. The selected patterns encapsulate critical 1D and 2D layout configurations to ensure broad optimization applicability. Figure 4 illustrates these patterns with cutlines in red, highlighting dense and isolated features to reflect realistic EUV exposure conditions.

3.2. SMO Results

Our Source–Mask Optimization aims to achieve tighter critical dimension (CD) accuracy, enhanced resolution, and an expanded process window. The optimization employs an iterative approach, initialized using empirical estimates of optimal source shapes and mask patterns. The SMO-optimized source is represented as a grayscale pixelated illumination pattern.
Under the optimized source (Figure 5) and modified mask conditions, the resulting aerial images and their corresponding contours are shown below in Figure 6.
To quantitatively evaluate the SMO-optimized process performance, we employed three critical lithographic metrics: critical dimension error bias, exposure latitude (EL), and mask error factor (MEF). These parameters have been systematically integrated into a multi-objective cost function to drive the optimization toward optimal patterning fidelity.
The critical dimension (CD) variation tolerance is constrained to ≤±5% to ensure pattern fidelity in feature contours. Exposure latitude (EL) specifications are set ≥18% for 1D features and ≥13% for 2D features, representing the permissible imaging contrast. For mask error factor (MEF), the limits are established at |MEF| < 2 for 1D features and |MEF| < 4 for 2D features, quantifying the process sensitivity to mask errors. As shown in Figure 7, pre-optimization results indicate that over half of both CD and EL values exceeded specified tolerances, particularly for complex 2D patterns such as the 1.5D Z-shape. Following three iterative cycles of SMO, all measured parameters conform to the target specifications. This demonstrates that SMO’s efficacy in enhancing lithographic performance, achieving robust pattern reproduction across process variations while maintaining the necessary balance between precision and manufacturability for EUV production applications.

3.3. SMO-Driven Aberration Mitigation

Then our study examines the impact of aberrations on EUV imaging performance. By using 1D through-pitch patterns, we analyze how aberrations degrade imaging contrast (affecting exposure latitude, EL). The aberrations include one single order of Z8 = 1 nm RMS and randomly generated aberrations Zr with orders ranging from Z5 to Z26, whose coefficients sum to 1 nm RMS.
From the Figure 8 above, it can be observed that the lens’s imaging EL barely meets the 18% production requirement only in the aberration-free case (where the forbidden pitch patterns still fall short). The introduction of aberrations significantly degrades imaging contrast, particularly in the case of a single Z8 aberration.
For 2D patterns, we focus on shape distortion effects in critical hot spots, which are particularly sensitive to aberrations. In the Figure 9 below, we present the necking effect on isolated head-to-head patterns under Z8 and CD bias for two-line patterns under Zr. It can be observed that aberrations distort the imaging profiles, thereby degrading the fidelity of 2D patterns.
Next, we examine the impact of applying SMO to aberration-included lithographic process window optimization. SMO optimizations are conducted separately for Z8 (0.25, 0.5, 1 nm RMS) and Zr (1 nm RMS), with the results presented in the Figure 10 below.
The statistical results in Figure 10 demonstrate that SMO improves pattern fidelity and imaging quality under all aberration conditions, yet the optimized process window at Z8 = 1 or 0.5 nm still falls substantially below specifications. In contrast, distributed Zr aberrations totaling 1 nm across multiple orders exhibit significantly less impact on imaging quality. Their effect falls between that of single Z8 = 0.5 nm and 0.25 nm cases. This dispersed aberration situation represents the more practical condition. On average, the CD error was reduced by approximately 4.02%, while EL and MEF have about 1.48% and 5.45% improvement, respectively. Satisfactory production yield is only achieved when Z8 ≤ 0.25 nm. These findings prove that SMO can effectively compensate for image quality degradation when single Z8 aberration remains below 0.25 nm; beyond this threshold, comprehensive scanner-level optimization involving full-system aberration correction and architecture modifications become necessary to achieve required performance.

4. Conclusions

This paper proposes a Source–Mask Optimization (SMO) method to enhance extreme ultraviolet lithography patterning fidelity and mitigate aberrations. Through simulations of typical back-end-of-line (BEOL) metal layer patterns, we demonstrate that SMO significantly improves EUVL performance by reducing optical aberration effects, leading to notable gains in critical dimension (CD) accuracy, exposure latitude (EL), and the reduction in mask error factor (MEF). The results indicate that a single Z8 aberration has a more pronounced impact than a randomly dispersed aberration of the same root-mean-square value. Furthermore, Z8 aberrations can only be fully compensated via SMO when their magnitude remains below 0.25 nm. However, this study has certain limitations, including the high computational cost of the SMO process, challenges in scalability to full-chip simulations, and the presence of residual aberrations beyond the compensation range demonstrated here. Future applications of SMO will validate its performance across various IP designs under real-world lithographic conditions, while the integration of Design and Infrastructure, Material, and Process Optimization (DICO) offers a robust strategy to align design intent with manufacturing outcomes, improving patterning fidelity, process window, and yield optimization for advanced nodes. We believe with further refinement of SMO and DICO will be crucial to address the challenges of next-generation semiconductor manufacturing.

Author Contributions

Conceptualization, Q.W. (Qi Wang) and Y.L. (Yanli Li); methodology, Q.W. (Qi Wang); software, Q.W. (Qiang Wu); validation, Y.L. (Ying Li) and X.L.; writing—original draft preparation, Q.W. (Qi Wang); writing—review and editing, Y.L. (Yanli Li); All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

The original contributions presented in this study are included in the article. Further inquiries can be directed to the corresponding author(s).

Acknowledgments

The authors would like to thank the help from NICIC staff in the simulations.

Conflicts of Interest

The authors declare no conflict of interest.

Abbreviations

SMOSource–Mask Optimization
EUVextreme ultraviolet
ICintegrated circuits
CRAOchief ray angle at object
FFMfield facet mirrors
PFMpupil facet mirrors
RCWArigorous coupled-wave analysis
CDcritical dimension
NAnumerical aperture
OPCoptical proximity correction
OPDoptical path difference
CDECritical Dimension Error
ELexposure latitude
MEFmask error factor

References

  1. Kazazis, D.; Santaclara, J.G.; Schoot, J.; Mochi, I.; Ekinci, Y. Extreme Ultraviolet Lithography. Nat. Rev. Methods Primers 2024, 4, 84. [Google Scholar] [CrossRef]
  2. Peng, F.; Xu, Y.; Song, Y.; Gui, C.; Zhao, Y. Source and mask optimizing with a defocus antagonism for process window enhancement. Opt. Express 2022, 20, 36429–36445. [Google Scholar] [CrossRef]
  3. Neisser, M. International Roadmap for Devices and Systems lithography roadmap. J. Micro/Nanopatterning Mater. Metrol. 2021, 20, 044601. [Google Scholar] [CrossRef]
  4. Rosenbluth, A.E.; Melville, D.O.; Tian, K.; Bagheri, S.; Tirapu-Azpiroz, J.; Lai, K.; Waechter, A.; Inoue, T.; Ladanyi, L.; Barahona, F.; et al. Intensive optimization of masks and sources for 22 nm lithography. Proc. SPIE 2009, 7274, 727409. [Google Scholar] [CrossRef]
  5. Shen, H.; Zhang, L.; Rui, D.; Liu, G.; Su, Y.; Wei, Y.; Fang, M. Source and mask optimization for stability of reticle and wafer stages. Opt. Express 2025, 19, 33603–33617. [Google Scholar] [CrossRef] [PubMed]
  6. Jia, N.; Lam, E.Y. Pixelated source mask optimization for process robustness in optical lithography. Opt. Express 2011, 19, 19384–19398. [Google Scholar] [CrossRef]
  7. Li, S.; Wang, X.; Bu, Y. Robust pixel-based source and mask optimization for inverse lithography. Opt. Laser Technol. 2013, 45, 285–293. [Google Scholar] [CrossRef]
  8. Li, Z.; Dong, L.; Ma, X.; Wei, Y. Fast source mask co-optimization method for high-NA EUV lithography. Opto-Electron. Adv. 2024, 5, 210077. [Google Scholar] [CrossRef]
  9. Nam, D.S.; Ser, J.H.; Seong, N.; Li, X.; Hsu, S.; Yen, A. Mask and Illumination Optimization for Low-k1 EUV Lithography. Proc. SPIE 2022, 12325, 1232502. [Google Scholar] [CrossRef]
  10. Zhang, S.; Ma, X. Efficient source and mask optimization based on interpretable hypergraph auto-encoding network. Opt. Express 2025, 15, 31770–31784. [Google Scholar] [CrossRef]
  11. Yu, J.; Yu, P. Gradient-based fast source mask optimization (SMO). Proc. SPIE 2011, 7973, 797320. [Google Scholar] [CrossRef]
  12. Fuhner, T.; Erdmann, A. Improved mask and source representations for automatic optimization of lithographic process conditions using a genetic algorithm. Proc. SPIE 2005, 5754, 415–426. [Google Scholar] [CrossRef]
  13. Li, J.; Liu, S.; Lam, E.Y. Efficient source and mask optimization with augmented Lagrangian methods in optical lithography. Opt. Express 2013, 21, 8076–8090. [Google Scholar] [CrossRef]
  14. Sun, Y.; Sheng, N.; Li, T.; Li, Y.; Li, E.; Wei, P. Fast nonlinear compressive sensing lithographic source and mask optimization method using Newton-IHTs algorithm. Opt. Express 2019, 27, 2754–2770. [Google Scholar] [CrossRef] [PubMed]
  15. Wu, X.; Liu, S.; Li, J.; Lam, E.Y. Efficient source mask optimization with Zernike polynomial functions for source representation. Opt. Express 2014, 22, 3924–3937. [Google Scholar] [CrossRef] [PubMed]
  16. Chen, Z.; Dong, L.; Ding, H.; Wei, Y. Aberration budget analysis of EUV lithography from the imaging performance of a contact layer in a 5 nm technology node. Appl. Opt. 2023, 62, 7270–7279. [Google Scholar] [CrossRef] [PubMed]
  17. Prata, J.A.; Rusch, W.V.T. Algorithm for computation of Zernike polynomials expansion coefficients. Appl. Opt. 1989, 28, 749–754. [Google Scholar] [CrossRef]
  18. Chen, G.; Li, S.; Wang, X. Source mask optimization using the covariance matrix adaptation evolution strategy. Opt. Express 2020, 28, 33371–33389. [Google Scholar] [CrossRef]
  19. Gao, W.; Chen, C.K.; Zimmermann, J. Computational evaluation of critical logic metal layers of pitch 20-24 nm and aberration sensitivity in high NA EUV single patterning. Proc. SPIE 2023, 12495, 40–51. [Google Scholar] [CrossRef]
  20. Jiang, J.; Mei, Q.; Li, Y.; Liu, Y. Illumination system with freeform fly’s eye to generate pixelated pupil prescribed by source-mask optimization in extreme ultraviolet lithography. Opt. Eng. 2017, 56, 065101. [Google Scholar] [CrossRef]
  21. Han, C.; Li, Y.; Ma, X.; Liu, L. Robust hybrid source and mask optimization to lithography source blur and flare. Appl. Opt. 2015, 54, 5291–5302. [Google Scholar] [CrossRef]
  22. Lin, J.; Dong, L.; Fan, T. Fast aerial image model for EUV lithography using the adjoint fully convolutional network. Opt. Express 2022, 30, 11944–11958. [Google Scholar] [CrossRef]
  23. Zhang, Z.; Li, S.; Wang, X.; Cheng, W.; Qi, Y. Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm. Opt. Express 2021, 29, 5448–5465. [Google Scholar] [CrossRef]
  24. Shen, Y.; Peng, F.; Zhang, Z. Semi-implicit level set formulation for lithographic source and mask optimization. Opt. Express 2019, 27, 29659–29668. [Google Scholar] [CrossRef] [PubMed]
  25. Ng, P.C.W.; Tsai, K.; Lee, Y.; Wang, F.; Li, J.; Chen, A.C. Fully model-based methodology for simultaneous correction of extreme ultraviolet mask shadowing and proximity effects. J. Micro/Nanolithogr. MEMS MOEMS 2011, 10, 013004. [Google Scholar]
  26. Li, Z.; Dong, L.; Ma, X.; Wei, Y. Decomposition-learning-based thick-mask model for partially coherent lithography system. Opt. Express 2023, 31, 20321–20337. [Google Scholar] [CrossRef] [PubMed]
  27. Raghunathan, S.; Mclntyre, G.; Fenger, G.; Wood, O. Mask 3D effects and compensation for high NA EUV lithography. Proc. SPIE 2013, 8679, 867918. [Google Scholar] [CrossRef]
  28. Wang, J.; Su, X.; Su, Y.; Wei, Y. Probability distribution-based method for aberration budgeting in EUV lithography. Opt. Express 2023, 32, 44507–44520. [Google Scholar] [CrossRef]
  29. Wang, Z.; Ma, X.; Arce, G.R.; Garcia-Frias, J. Information theoretical approaches in computational lithography. Opt. Express 2018, 26, 16736–16751. [Google Scholar] [CrossRef]
  30. Shen, Y. Lithographic source and mask optimization with a narrowband level-set method. Opt. Express 2018, 26, 10065–10078. [Google Scholar] [CrossRef]
  31. Ma, X.; Wang, Z.; Lin, H.; Li, Y.; Arce, G.R.; Zhang, L. Optimization of lithography source illumination arrays using diffraction subspaces. Opt. Express 2018, 26, 3738–3755. [Google Scholar] [CrossRef]
Figure 1. Schematic diagrams of (a) EUV lithographic imaging system architecture and (b) illumination subsystem components of field facet mirror (FFM) and pupil facet mirror (PFM) configurations.
Figure 1. Schematic diagrams of (a) EUV lithographic imaging system architecture and (b) illumination subsystem components of field facet mirror (FFM) and pupil facet mirror (PFM) configurations.
Micromachines 16 01166 g001
Figure 2. (a) The structure of the EUV mask, where θ denotes the incident angle of light and φ represents the azimuth angle. A typical EUV mask primarily consists of a TaN absorber and a Si/Mo multilayer. (b) The schematic of the exposure ring slit in the EUV system. Along the ring slit, the chief ray angle of incidence (CRAO) remains constant at 6°, while the azimuth angle varies from −30° to 30°.
Figure 2. (a) The structure of the EUV mask, where θ denotes the incident angle of light and φ represents the azimuth angle. A typical EUV mask primarily consists of a TaN absorber and a Si/Mo multilayer. (b) The schematic of the exposure ring slit in the EUV system. Along the ring slit, the chief ray angle of incidence (CRAO) remains constant at 6°, while the azimuth angle varies from −30° to 30°.
Micromachines 16 01166 g002
Figure 3. Workflow of the EUV Source–Mask Optimization (SMO).
Figure 3. Workflow of the EUV Source–Mask Optimization (SMO).
Micromachines 16 01166 g003
Figure 4. Typical chosen patterns for SMO with cutlines (in red) for 40 nm minimum pitch design rules at 2 nm technology nodes: (a) 1D through pitch patterns, (b) 2D typical patterns.
Figure 4. Typical chosen patterns for SMO with cutlines (in red) for 40 nm minimum pitch design rules at 2 nm technology nodes: (a) 1D through pitch patterns, (b) 2D typical patterns.
Micromachines 16 01166 g004
Figure 5. Optimized EUV illumination source pattern derived from quasar configuration, showing grayscale intensity distribution.
Figure 5. Optimized EUV illumination source pattern derived from quasar configuration, showing grayscale intensity distribution.
Micromachines 16 01166 g005
Figure 6. (a) 1D and (b) 2D aerial images and their corresponding contours, generated using the optimized source and modified masks, Cutlines are shown in red, with numbers indicating the order.
Figure 6. (a) 1D and (b) 2D aerial images and their corresponding contours, generated using the optimized source and modified masks, Cutlines are shown in red, with numbers indicating the order.
Micromachines 16 01166 g006
Figure 7. A comparison of critical process metrics before and after SMO.
Figure 7. A comparison of critical process metrics before and after SMO.
Micromachines 16 01166 g007
Figure 8. (a) A single order of Z8 = 1 nm RMS at the pupil plane, (b) randomly generated aberrations Zr with a total of 1 nm RMS at the pupil plane, (c) EL variations with 1D through pitch patterns from 40 nm to 140 nm under different aberration conditions.
Figure 8. (a) A single order of Z8 = 1 nm RMS at the pupil plane, (b) randomly generated aberrations Zr with a total of 1 nm RMS at the pupil plane, (c) EL variations with 1D through pitch patterns from 40 nm to 140 nm under different aberration conditions.
Micromachines 16 01166 g008
Figure 9. Two cases of 2D typical distortion under aberrations: (a) necking in head-to-head patterns and (b) CD bias in two isolated lines.
Figure 9. Two cases of 2D typical distortion under aberrations: (a) necking in head-to-head patterns and (b) CD bias in two isolated lines.
Micromachines 16 01166 g009
Figure 10. (a) SMO from initial quasar source to optimized source for both Z8 and Zr = 1 nm RMS, (b) CD accuracy comparison under different aberration conditions before and after SMO, (c) EL improvement under different aberration conditions before and after SMO, (d) MEF control under different aberration conditions before and after SMO, (e) statistical table of CD error reduction, EL improvement and MEF control after SMO.
Figure 10. (a) SMO from initial quasar source to optimized source for both Z8 and Zr = 1 nm RMS, (b) CD accuracy comparison under different aberration conditions before and after SMO, (c) EL improvement under different aberration conditions before and after SMO, (d) MEF control under different aberration conditions before and after SMO, (e) statistical table of CD error reduction, EL improvement and MEF control after SMO.
Micromachines 16 01166 g010
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Wang, Q.; Wu, Q.; Li, Y.; Liu, X.; Li, Y. Patterning Fidelity Enhancement and Aberration Mitigation in EUV Lithography Through Source–Mask Optimization. Micromachines 2025, 16, 1166. https://doi.org/10.3390/mi16101166

AMA Style

Wang Q, Wu Q, Li Y, Liu X, Li Y. Patterning Fidelity Enhancement and Aberration Mitigation in EUV Lithography Through Source–Mask Optimization. Micromachines. 2025; 16(10):1166. https://doi.org/10.3390/mi16101166

Chicago/Turabian Style

Wang, Qi, Qiang Wu, Ying Li, Xianhe Liu, and Yanli Li. 2025. "Patterning Fidelity Enhancement and Aberration Mitigation in EUV Lithography Through Source–Mask Optimization" Micromachines 16, no. 10: 1166. https://doi.org/10.3390/mi16101166

APA Style

Wang, Q., Wu, Q., Li, Y., Liu, X., & Li, Y. (2025). Patterning Fidelity Enhancement and Aberration Mitigation in EUV Lithography Through Source–Mask Optimization. Micromachines, 16(10), 1166. https://doi.org/10.3390/mi16101166

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop