Next Article in Journal
Study on the Aging Characteristics of a ±500 kV Composite Dead-End Insulator in Longtime Service
Previous Article in Journal
Dispersion and Lubrication of Zinc Stearate in Polypropylene/Sodium 4-[(4-chlorobenzoyl) amino] Benzoate Nucleating Agent Composite
Previous Article in Special Issue
Substrate Neutrality for Obtaining Block Copolymer Vertical Orientation
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Disclosing Topographical and Chemical Patterns in Confined Films of High-Molecular-Weight Block Copolymers under Controlled Solvothermal Annealing

1
Fraunhofer Institute for Applied Polymer Research (IAP), Geiselbergstr. 69, 14476 Potsdam-Golm, Germany
2
School of Civil Engineering, Southeast University, Dongnandaxue Road 2, Jiangning District, Nanjing 211189, China
3
Fraunhofer Institute for Laser Technology (ILT), Steinbachstr. 15, 52074 Aachen, Germany
4
German Textile Research Center North-West (DTNW), Adlerstr. 1, 47798 Krefeld, Germany
*
Author to whom correspondence should be addressed.
Polymers 2024, 16(13), 1943; https://doi.org/10.3390/polym16131943
Submission received: 10 June 2024 / Revised: 28 June 2024 / Accepted: 4 July 2024 / Published: 8 July 2024
(This article belongs to the Special Issue Block Copolymers: Synthesis, Self-Assembly and Application)

Abstract

:
The microphase separation of high-molecular-weight block copolymers into nanostructured films is strongly dependent on the surface fields. Both, the chain mobility and the effective interaction parameters can lead to deviations from the bulk morphologies in the structures adjacent to the substrate. Resolving frustrated morphologies with domain period L0 above 100 nm is an experimental challenge. Here, solvothermal annealing was used to assess the contribution of elevated temperatures of the vapor Tv and of the substrate Ts on the evolution of the microphase-separated structures in thin films symmetric of polystyrene-b-poly(2vinylpyridine) block copolymer (PS-PVP) with L0 about 120 nm. Pronounced topographic mesh-like and stripe patterns develop on a time scale of min and are attributed to the perforated lamella (PL) and up-standing lamella phases. By setting Tv/Ts combinations it is possible to tune the sizes of the resulting PL patterns by almost 10%. Resolving chemical periodicity using selective metallization of the structures revealed multiplication of the topographic stripes, i.e., complex segregation of the component within the topographic pattern, presumably as a result of morphological phase transition from initial non-equilibrium spherical morphology. Reported results reveal approaches to tune the topographical and chemical periodicity of microphase separation of high-molecular-weight block copolymers under strong confinement, which is essential for exploiting these structures as functional templates.

1. Introduction

Among the variety of nanofabrication bottom-up methods, directed assembly of microphase-separated structures of block copolymers is recognized for its effectiveness, low cost and flexibility in producing large-area films with well-defined and well-ordered nanostructures [1,2,3,4]. Fabrication of patterns with periodic features above 100 nm has drawn growing attention, driven by potential applications, e.g., in photonics, reflective coatings, and interconnect patterning [5,6,7,8,9,10,11,12,13,14]. Such large feature sizes are achieved by employing high-molecular-weight block copolymers, in the range around or above 500 kg/mol. Accordingly, new synthetic approaches to achieve ultralong linear chains [15,16,17] or more complex architectures [14,18,19,20,21] have been reported. The processing of such long chains into functional materials or films raises a number of fundamental and technological issues that have been analyzed in detail earlier [1,22]. High-molecular-weight block copolymers exhibit high viscosity, even in a swollen state, as a result of chain entanglements, leading to long processing times and uncompleted microphase separation [15,22]. Chain mobility is also strongly dampened by multiple contacts of long chains with the substrate, leading to a strong pinning to the substrate and therefore promoting the formation of “asymmetric” structures at the substrate and at the free surface of the film [23,24]. The resolution of the frustrated morphologies, caused by the strong surface fields, is an experimental challenge.
To overcome the processing difficulties, researchers employ various strategies, such as different solvent vapor annealing methods [25,26,27,28,29,30,31,32,33,34,35], including swelling to high ratios by using appropriate solvents/mixtures of solvents [36,37], or overpressure [38], adding non-volatile solvent during film casting [39], using homopolymers as smart solvents and compatibilizers [26,40,41], employing swelling network supports [42] or non-solvent immersion treatments [43]. Despite these efforts, it is clear that achieving long-range orientational order and defectless structures is not feasible for high-molecular-weight block copolymers [44]. Therefore, the potential is seen in applications that rely on nanostructures with dimensions in the optical range, such as hierarchical optical metasurfaces [45], mesoporous materials [46,47], including hybrid inorganic materials based on selective infiltration of block copolymer templates [48,49]. Taking into account the high sensitivity of the microphase separation to the small variations in the film thickness, solvent selectivity, and interactions with the substrate, modern machine learning design approaches can be applied to relate the processing conditions to the defectivity of the resulting patterns [50].
Solvent vapor annealing has the advantage of reducing interactions of polymers with the substrate, thus eliminating the pinning effect and significantly reducing annealing time [35]. Solvent molecules affect polymer-polymer interaction parameters, which in the case of block copolymer solutions is referred to as χeff [51]. Theoretically ϕeff in block copolymer solutions has been elaborated by Fredrickson and Leibler [52] and Olvera de la Cruz [53] and extended later by Lodge [51]. Furthermore, the effective changes to the polymer volume fraction as a result of selective swelling [54] can induce morphological changes [55]. A comprehensive analysis of solvent vapor processing of high-molecular-weight block copolymers was recently given by Mokarian-Tabari and co-workers [37]. The authors reported enhanced ordering on a timescale of 10 min of perforated lamella structures with a domain period of about 190 nm by fast swelling of polystyrene-b-poly(2vinylpyridine) films to very high levels of solvent concentration. Although this work demonstrated the importance of processing parameters, such as the swelling rate, hold times, and the polymer concentration in the swollen film (φp), the influence of the absolute temperatures of the vapor and of the substrate in this processing remains predominantly unexplored.
Solvothermal annealing is envisaged to offer additional advantages in processing high-molecular-weight polymers, such as enhanced ordering dynamics, improved long-range order and tunable morphologies by careful selection of solvents and annealing conditions [28,54]. However, introducing additional variables in the process makes the understanding of the self-assembly mechanisms under solvothermal annealing and identification of the microphase-separated patterns increasingly complicated, because both temperature and solvent affect the segmental mobility, molecular interaction parameters, solubility and solvent quality, as well as surface/interfacial tension in films. Since the intrinsic domain spacings L0 of high-molecular-weight block copolymers are larger than 100 nm, all films with a swollen thickness below L0 belong to a category of highly frustrated microphase-separated structures, which deviate from bulk morphologies. Further challenges are associated with the estimates of the interaction parameter χ under swollen conditions. Using a classical equation for bulk χeff = χφpb (where χeff and χ are Flory–Huggins interaction parameters in swollen and dry films, respectively, b is an exponent factor, which varies between 1 and 2) [51] is not straightforward as it does not take into account polymer-substrate interactions. Furthermore, even a slight selectivity of the solvent to the substrate or to the block copolymer components can cause significant changes in the symmetry or dimensions of the resulting pattern.
Although hot/warm solvent vapor annealing has been used in previous studies [54,56,57], the competitive influence of the annealing temperatures on the variation in χ and other enthalpic parameters in the system, as well as on the solvent uptake and chain dynamics has not systematically evaluated, both in terms of pattern tunability and in terms of enhancing segmental dynamics.
Here we resolve mesoscale topographic and chemical patterns in sub 100 nm films of high-molecular-weight polystyrene-b-poly(2vinylpyridine) (PS-PVP) diblock copolymer subjected to temperature-controlled solvent vapor annealing in a specially designed annealing cell [28]. We first analyze the resulting microphase-separated mesh-like and stripe patterns as a function of the temperatures of the vapors (Tv) of the chloroform (a slightly selective solvent, Figure S1, Supplemental Information) and the polymer film (substrate, Ts). The dynamics of the structure evolution upon varying the annealing time from minutes to hours is also discussed. Finally, we identify the match between the topographic and chemical (PS and PVP domains) patterns, which was explored using the floating technique, reactive ion etching (RIE) for pattern transfer, as well as by selective metallization of the structures.

2. Materials and Methods

2.1. Materials

Polystyrene-b-poly(2-vinyl pyridine) (denoted here as PS-PVP) diblock copolymer with a total molecular weight of Mn = 390 kg/mol and a volume fraction of PS block φps = 0.48, as well as the homopolymer poly(2-vinyl pyridine) (PVP) (Mn = 105 kg/mol) were synthesized by anionic polymerization [58]. A degree of polymerization of PS-PVP of ~3609 and an interaction parameter χPS-PVP of ~ 0.178 at room temperature, resulting in a high segregation strength of χN ≈ 640. Domain spacing L0 of ~117 nm was determined by small angle X-ray scattering (SAXS) at the European Synchrotron Radiation Facility, Grenoble, France, by measuring solvent-cast µm-thick PS-PVP film (Figure S3, Supplemental Information). Polystyrene (PS) (Mn = 180 kg/mol) was purchased from PSS (Polymer Standards Service, Amherst, MA 01002, USA). Chloroform and toluene were purchased from Sigma-Aldrich (Sigma-Aldrich Chemie GmbH, Schnelldorf, Germany) and used without further purification.

2.2. Film Preparation

P-type Si wafers (Crys Tec GmbH, Berlin, Germany) with ~2 nm thick SiOx layer were cut in pieces and stored in toluene. Before usage, silicon substrates were additionally cleaned by a CO2 snow-jet gun and then treated with air plasma at 60 W for 1 min. Films with a targeted thickness of below 50 nm have been prepared by spin-coating polymers from a toluene solution with a concentration of 1 wt%. Toluene is a selective solvent for the PS block. After spin-coating, the films were dried at room temperature in a vacuum oven for 12 h to remove the residual solvent.

2.3. Floating of the Films

First, a prepared film on the Si wafer was repeatedly touched on the surface of aqueous NaOH solution (1 mM) with the sample edge at ~45° until the block copolymer film was detached from the substrates and floated on the surface of the solution. To image the back side of the film, it was then picked up with a clean silicon wafer upside down, resulting in a strong adhesion of the picked-up film to the substrate [59]. The films were then rinsed in deionized water and placed in a vacuum oven for 12 h at ambient temperature to remove the residual moisture.

2.4. Annealing Experiments

Solvent annealing was performed in a custom-made setup (Figure 1). Nitrogen, as a carrier gas, passes through two flow controllers (MKS 647C, MKS Instruments Deutschland GmbH, München, Germany) connected to the channel with a flow of pure nitrogen (Channel 1) and to the channel that delivers solvent vapor (Channel 2) into the chamber. Flow controllers define the total flow through a channel (a maximum value of 100 sccm, unless otherwise specified), as well as the partial vapor pressure in the chamber p/p0. The latter is adjusted by mixing the flows through Channel 1 and Channel 2. The temperature of the vapor Tv was maintained by a bath thermostat with immersed vials with the solvent. The temperature of the substrate Ts was adjusted by cycling water through the tubing at the bottom of the chamber. Further details can be found in Ref [28].

2.5. Characterization of the Films

Swelling behavior of polymer films was monitored by in situ spectroscopic ellipsometry (Omt Imaging, mm30 series, Ulm, Germany). Optical data were collected within a spectral range of 450–800 nm at an incidence angle of 70° using VisuEl 3.8 software (Omt-optische messtechnik GmbH, Ulm, Germany). Film thicknesses were evaluated using the Cauchy model and Scout Software 4.7 (Omt-optische messtechnik GmbH, Ulm, Germany). The polymer fraction in a swollen film is presented as φp, calculated by hd/hsw where the hd is the start film thickness and hsw is the swollen film thickness. The degree of swelling D = 1/φp.
Scanning force microscopy (SFM) was conducted using Icon Dimension (Bruker Corporation, Billerica, MA 01821, USA) in TappingMode using tips from OTESPA with spring constant k = 42 N/m. The images were analyzed using Nanoscope Analysis 1.50 software (Bruker Corporation, Billerica, MA 01821, USA).

2.6. Metallization of the Microphase Separated Structures

Pt2+ ions were loaded by immersion of the film into 1 wt% Na2PtCl4 solution in 5 mM HCl. PVP blocks become protonated in an acidic environment so that the Pt2− anions build complexes with the cationic groups of the PVP blocks. The following treatment with oxygen plasma (under 0.2 mbar at 80 W for 90 s) removes the polymer, leaving on the substrate the metalized pattern, which can be assigned to the PVP block.

2.7. Pattern Transfer

Selected structures have been subjected to reactive ion etching (RIE) to demonstrate the applicability of the self-assembled block copolymer topographic structures for pattern transfer into the silicon substrate. The samples were etched in a CHF3/O2/SF6 plasma at a chamber pressure of 0.033 mbar and an RF power of 35 W with an average PVP etch rate of 28 nm/min and PS etch rate of 12 nm/min.

3. Results and Discussions

3.1. Swelling under Controlled Solvothermal Conditions

Swelling experiments under controlled flow and temperature conditions were carried out in a custom-built chamber using in situ ellipsometry measurements of the swollen film thickness, as briefly described in the Materials and Methods Section and more fully in the Supplemental Information. It is suggested, that maintaining the swollen block copolymer film at a slightly elevated temperature may improve the annealing dynamics through a combination of thermally enhanced segmental mobility and of solvent plasticizing effect. We note that a qualitative assessment of this hypothesis is not feasible due to the uncertainty in the interaction parameters, as mentioned in the introduction. In particular, the reduced pinning of the segments to the substrate due to the weakening of the surface interactions may be compromised by a reduced solvent uptake, when the temperature of the substrate is increased (Figure S2b). Furthermore, the combination of strong surface fields at the substrate and the solvent-induced shift in the interaction parameters can lead to the deviation of bulk morphologies. Also, solubility parameters are not easy to estimate because of the often-observed thickness-dependent swelling [60,61]. Another challenging experimental factor is the potential condensation of the solvent on the film surface as a result of the increased temperature of the vapor, i.e., of the solvent concentration in the vapors. To explore the possibilities of our annealing setup to run solvothermal annealing in a reproducible and controlled manner, we analyzed the response of the solvent uptake by PS-PVP films to the changes in the temperature of the vapors (Tv) and of the polymer film (substrate, Ts) (Figure S2, Supplemental information).
The choice of the film thickness in the swollen state below the characteristic lamella spacing of PS-PVP in L0 of 117 nm (Figure S3, Supplemental Information), resulting in frustrated morphologies, allowed a closer insight into the effect of the annealing parameters on the strength of the surface fields. It was shown that the development of specific defects or phase transitions as annihilation pathways toward equilibrium can be used to discuss the surface fields in the system [62]. We note, that in this particular system, as the swollen film thickness approaches L0 (by using thicker films or high degrees of swelling, as a result of solvent condensation) the formation of in-plane oriented lamella, i.e., featureless patterns, occurs (Figure S4, Supplemental Information) as a result of highly preferred interactions of the polar PVP block with the substrate.

3.2. Effect of Solvothermal Conditions and Annealing Time on the Microphase Separation Behavior of PS-PVP in Thin Films

Shown in Figure 2a–c are topographic SFM images of PS-PVP films with an initially dry thickness of ~ 42 nm, well below the intrinsic domain spacing L0 = 117 nm, which were annealed for 10 min at different temperature sets Tv/Ts with an intended ΔT = Ts − Tv difference of 5–6 °C. The desired precision in the targeted degree of swelling Ds was not feasible to achieve in different comparative experiments, since multiple dynamic and thermodynamic parameters affect the solvent uptake. However, we believe that the slight deviations in the annealing conditions can be tolerated for interpreting the developed structures.
The evolved structures are represented in each case by a disordered striped pattern, which can be assigned to up-standing lamella, since even in the swollen state the film thickness is still below L0. The differences in the degree of ordering, achieved within 10 min of annealing, or in the shape of specific defects are too marginal to be discussed. However, we elaborate on the origin of the pronounced topography of the pattern, which is likely due to the high selectivity of chloroform to the PVP block. The lower channels with a depth of 8 ± 1 nm can be assigned to de-swollen PVP domains, which in a swollen state accumulate about 15% more solvent than PS domains (Figure S1, Supplemental Information). This assumption is supported by the measurement in PeakForce Tapping mode, which provides space-resolved information about the adhesion force between the tip and the material, as well as the local modulus. Therefore, the brighter-color area implies a higher adhesion force to the tip (Figure 3b) and a higher modulus of the material (Figure 3c). The surface of the employed silicon nitride tip has a polar nature and therefore the tip adheres stronger to PVP domains than to PS domains. Also, in reported earlier phase images of PS-PVP block copolymers, the PVP domains appear brighter as a result of their slightly higher Tg as compared to PS [38]. Otherwise, we note that resolving the phase contrast in phase imaging often results in scanning artifacts (Figure S5, Supplemental Information), and resolving the chemical patterns is a separate challenge, which will be addressed later.
Since 10 min-long annealing did not indicate the effect of the annealing conditions on the microphase separation of PS-PVP domains, below we consider the morphological evolution on a longer annealing time scale. SFM images in Figure 4 and Figure S6 (Supplemental Information) show representative surface structures in films of PS-PVP with hdry ~42 ± 2 nm, which have been processed under indicated solvothermal conditions for 200 min. The swelling conditions were chosen so that a comparable degree of swelling could be maintained under different temperature sets Tv/Ts.
The microphase-separated structure, which was developed in the swollen state, appears in the quenched state as a dot-like topographic pattern and can be attributed to the perforated lamella (PL) phase. The formation of this morphology is enabled both by the film thickness below L0 and by the selectivity of the solvent, which effectively shifts the initially symmetric volume composition of the block copolymer towards PVP being a majority block. PL is represented by two layers of swollen PVP block, which face both interfaces of the film and perforate the middle PS block. During rapid evaporation of the solvent, the film undergoes one-dimensional compression and the PVP perforations appear as holes (5–6 nm deep).
In all cases, the structures have a poor long-range order, confirming the well-established difficulties in processing high-molecular-weight block copolymers [22,27].
The structure in Figure 4d can be characterized as a coexistence of the PL and stripes of the vertically oriented lamella phase. This can be explained as an adjustment of the PL phase to a slight excess of the film thickness [63]. Further characteristic defects of the patterns in Figure 4 can be explained along the lines of the thickness-dependent morphological behavior, which will be reported in detail elsewhere (Figure S6, Supplemental Information).
The formation of the PL phase in ultrathin solvent-annealed confined films of high-molecular-weight PS-PVP is in agreement with an earlier study by Selkirk et al. [37], despite the fact that they employed a mixture of solvents, aiming at non-selective solvent conditions.
Quantitative evaluation of the dimensions of the patterns in Figure 4 was performed using PSD analysis (Figure S7, Supplemental Information). The depth of the patterns has been averaged over at least 10 cross-sectional profiles of the SFM scans. The center-to-center distances (CCD) of the periodic structures are summarized in Table 1. We believe that the scatter in the measured values is due to the intrinsically low mobility of high-molecular-weight block copolymers, and even more due to the confined geometry when the surface field dominates the microphase separation over the other thermodynamic parameters in the system (such as volume fraction, χeff interaction parameter, temperature). Despite significant deviations in the evaluated dimensions, it can be concluded that the size of the resulting patterns depends on the particular Tv/Ts combination. The effect is less pronounced at higher degrees of swelling.
Because of prolonged annealing, we believe that the developed structures are in a (quasi)equilibrium state, i.e., the reasons behind the tunable structure dimensions are rather thermodynamic, than kinetic ones. Generally, the smaller the characteristic period of the domains, the larger the interface between the block copolymer components PS and PVP. The formation of a more extended PS/PVP interface at Ds~1.7 at a higher temperature set of 24/30 °C (Table 1) is presumably due to effectively smaller χ interaction parameters under these conditions. Additional arguments in terms of the decreased surface/interfacial tensions with increasing temperature can also be considered. Likely, in the particular narrow parameter window that we have tested the enhanced chain mobility due to the elevated temperature of the substrate is not sufficient to overcome the pinning of the chains to the substrate. Rather, the microphase-separated structures undergo unit cell distortion because the surface fields still overcome the energy required to distort the structure. Furthermore, the selectivity of solvent can be affected by the specific temperature set, leading to changes in the preferential swelling of the blocks, and hence to effective shifting of the volume fraction.
The present paper suggests that by choosing a particular Tv/Ts combination, it is possible to tune the sizes of the resulting PL patterns by almost 10%. In the following, we attempt to characterize the microphase-separated structures in confined PS-PVP films in more detail. In particular, we focus on establishing the correlation between the pronounced topographic pattern of the microstructures and the distribution of the blocks within the topographic features, taking advantage of the differences in the chemistry of the blocks.

3.3. Characterization of the Patterns

Presented in Figure 5a–d are topography images of the dot-like and striped patterns in thin films of PS-PVP, which have been produced by scanning the free (top) surface of the films, as well as the surface next to the substrate. This was possible after floating the samples as described in the experimental section. As can be seen, generally, the topographic patterns are preserved after the floating and redepositing of the film on a new substrate. This result indicates a symmetry of the pattern. The schematic of the procedure, including the selective swelling of the PVP block, formation of the topographic pattern after rapid evaporation of the solvent and local deformations of the PVP domains to adhere to the substrate during the deposition of the floated film is shown in Figure 5e.
Further, the mesh-like block copolymer template as shown in Figure 4c, was etched into the underlying substrate via RIE, as described in the experimental section (Figure S8). Figure 6 displays SFM topography images of random areas of the initial template, of the sample after etching and after rinsing the etched sample in chloroform to remove residual polymer. The cross-sections of respective topography images illustrate the quality of the pattern transfer (Figure 6d). A quantitative analysis of the patterns by PSD Analysis and by averaging cross-sectional profiles of the topography scans is presented in Table 2. As can be seen from the data, the initial depth of the holes was successfully transformed. This is due to the high differences in the etching rates of the blocks (13 nm/min for PS and 29 nm/min for PVP) as well as due to the presumed segregation of the PVP to the bottom of the holes (schematic iv in Figure 5e). The critical dimensions (CD) of the transferred features are larger than those of the template. This result may indicate a gradient distribution of the PVP block within the walls of the meshes.
Further insights on the compatibility of the topographic and chemical patterns have been provided by selective metallization of the patterns. Immersion of the film into aqueous sodium tetrachloroplatinate solution leads to selective loading of PVP domains with [PtCl4]2 ions, which are converted into metalized structures upon treatment with oxygen plasma.
Shown in Figure 7a,b are SFM images of the striped template (with feature dimensions ~110 nm) and of the respective metalized pattern, exhibiting wires with ~50 widths. As visualized in the cross-section profile in Figure 7d, the striped pattern is multiplicated, revealing that the PVP block was likely covering the walls of the stripes, while the PS block was segregated to the middle of the initial stripes. This kind of segregation and of the topographic pattern is supported by SEM images in Figure 7e, where the light-gray stripes indicate the higher metal fraction. The bright contour lines, which highlight the light-gray stripes, are typically attributed to artifacts, caused by the surface topography [40]. However, in this case, the SEM image can be considered as a confirmation of a non-homogeneous distribution of the PVP component within the geometrical pattern, demonstrating a mismatch between the topographic and chemical pattern. A plausible explanation of the development of such complex microphase separation was derived from resolving the morphological development on a shorter timescale.

3.4. Morphological Phase Transition on a Short Time Scale

PS-PVP films used in this study were prepared by spin-coating from 1 wt% toluene solution. In toluene, which is a strongly selective solvent to PS block, PS-PVP chains form micelles with a poorly swollen PVP core and a highly swollen PS shell. The morphology of as-spin-coated films is represented by spherical structures with lateral dimensions of 70 ± 5 nm in a quenched state (Figure 8bA). Time-resolved swelling experiments have been conducted in the following way. Several samples have been prepared under identical conditions. The setup has been pre-equilibrated with the selected set of parameters Tv/Ts = 14/20 °C, as described in the experimental section. The swelling of a 43 nm thick PS-PVP film was monitored for 10 min before the flow of the solvent vapor was stopped and the sample (designed as D, in Figure 8) was quenched. Then, similar procedures were performed with the other two samples for 1 min (sample B) and for 5 min (sample C) before quenching. Surface structures of the spin-coated film (sample A) and of the processed samples are presented in Figure 8b.
Taking into account the film thickness of ~40 nm, the initial structures in the spin-coated films can be assigned to hemispheres with the PVP core next to the substrate. Few larger objects seen in Figure 8 bA correspond to micelles with a larger aggregation number, which evolves in the solution upon aging. These larger micelles can be redispersed by heating and stirring the solution.
Upon rapid exposure to solvent vapor, the structure evolves into a mesh-like pattern. The transition occurs within 1 min, even if the maximum swelling is not reached. The characteristic dimensions of the mesh-like structures are comparable to the size of the initial spherical structures (Figure 8bB and Figure S9b). Remarkably, after 5 min of exposure to the vapors, a phase transition to a mesh-like pattern with doubled dimensions (about 133 nm, Figure S9g–i). The driving force of these transitions is presumably the coarsening of the interface between PS and PVP blocks, which is facilitated by the enhanced chain dynamics upon selective swelling of the PVP block. The observed two types of morphological transitions (A–B and B–C), as well as their dynamics are reproducible at other temperature sets, as shown in Figures S9 and S10 (Supplemental Information). We believe that the multiplication of the chemical pattern selective by metallization of the structures replicates the morphological transition (B–C).

4. Conclusions

Achieving high precision, reproducibility as well as high throughput processing of high-molecular-weight block copolymer templates in thin film is a challenging task. In this study, solvothermal annealing, including variation in the temperature of the substrate Ts and of the vapor Tv, was employed to assess the relative contributions of the enhanced chain dynamics due to increased temperature or due to selective swelling on the resulting microphase-separated structures. The rearrangement of the initial morphology, frozen by spin-coating, proceeds on a timescale below one minute upon swelling in the vapors of selective solvent, independent of the applied annealing temperature. The (quasi)equilibrium dimensions of the structures are achieved on a time scale of minutes when the steady-state solvent uptake is reached. The long-range order of the microphase-separated patterns cannot be improved even after hours of annealing in solvent vapors.
Tunable microphase separation of high-molecular-weight lamella-forming polystyrene-b-poly(2vinylpyridine) block copolymer leading to mesh-like or striped topographic templates, suitable for the pattern transfer is achieved by setting Tv/Ts combinations. The one-step formation of topographic patterns is presumably due to enhanced chloroform selectivity to the PVP block under strong confinement. The quenched patterns demonstrate a mismatch of the topographic and chemical patterns. This is manifested by the multiplication of the striped pattern upon selective metalization of the template and is attributed to the disclosed rapid morphological transition from initial non-equilibrium spherical morphology to the vertically oriented lamella. Apart from quantification of the solvothermal processing, the reported results disclose specific futures of microphase separation of high-molecular-weight block copolymers under strong confinement.

Supplementary Materials

The following supporting information can be downloaded at: https://www.mdpi.com/article/10.3390/polym16131943/s1, Figure S1: Kinetic curves of stepwise swelling and deswelling of homopolymer and block copolymer films; Figure S2: Time-resolved swelling of PS-PVP films upon stepwise variation in Ts and Tv. Figure S3: SAXS measurement of µm-thick PS-PVP film. Figures S4–S6: thickness-dependent morphological behavior of processed films. Figure S7: Power spectrum density analysis (PSDA) of the surface structures. Figure S8: Etching rates of the homopolymers. Figures S9 and S10: Swelling kinetics and time-resolved phase transitions.

Author Contributions

Conceptualization, L.T.; methodology, X.C. and J.T.; validation, S.D. and L.T.; formal analysis, X.C.; investigation, X.C.; resources, A.B.; data curation, X.C.; writing—original draft preparation, X.C.; writing—review and editing, L.T.; visualization, X.C.; supervision, L.T. and A.B. All authors have read and agreed to the published version of the manuscript.

Funding

X.C. acknowledges CSC for a PhD scholarship.

Institutional Review Board Statement

Not applicable.

Data Availability Statement

The original contributions presented in the study are included in the article/Supplementary Materials, further inquiries can be directed to the corresponding author.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Gottlieb, E.R.; Guliyeva, A.; Epps, T.H., III. From Lab to Fab: Enabling Enhanced Control of Block Polymer Thin-Film Nanostructures. ACS Appl. Polym. Mater. 2021, 3, 4288–4303. [Google Scholar] [CrossRef]
  2. Cummins, C.; Lundy, R.; Walsh, J.J.; Ponsinet, V.; Fleury, G.; Morris, M.A. Enabling future nanomanufacturing through block copolymer self-assembly: A review. Nano Today 2020, 35, 100936. [Google Scholar] [CrossRef]
  3. Gunkel, I. Directing Block Copolymer Self-Assembly on Patterned Substrates. Small 2018, 14, 1802872. [Google Scholar] [CrossRef] [PubMed]
  4. Morris, M.A.; Gartner, T.E.; Epps, T.H. Tuning Block Polymer Structure, Properties, and Processability for the Design of Efficient Nanostructured Materials Systems. Macromol. Chem. Phys. 2017, 218, 1600513. [Google Scholar] [CrossRef]
  5. Kulkarni, A.A.; Doerk, G.S. Thin film block copolymer self-assembly for nanophotonics. Nanotechnology 2022, 33, 292001. [Google Scholar] [CrossRef] [PubMed]
  6. Rasappa, S.; Hulkkonen, H.; Schulte, L.; Ndoni, S.; Reuna, J.; Salminen, T.; Niemi, T. High molecular weight block copolymer lithography for nanofabrication of hard mask and photonic nanostructures. J. Colloid Interface Sci. 2019, 534, 420–429. [Google Scholar] [CrossRef]
  7. Mokarian-Tabari, P.; Senthamaraikannan, R.; Glynn, C.; Collins, T.W.; Cummins, C.; Nugent, D.; O’Dwyer, C.; Morris, M.A. Large Block Copolymer Self-Assembly for Fabrication of Subwavelength Nanostructures for Applications in Optics. Nano Lett. 2017, 17, 2973–2978. [Google Scholar] [CrossRef] [PubMed]
  8. Stefik, M.; Guldin, S.; Vignolini, S.; Wiesner, U.; Steiner, U. Block copolymer self-assembly for nanophotonics. Chem. Soc. Rev. 2015, 44, 5076–5091. [Google Scholar] [CrossRef]
  9. Liu, S.; Yang, Y.; Zhang, L.; Xu, J.; Zhu, J. Recent progress in responsive photonic crystals of block copolymers. J. Mater. Chem. C 2020, 8, 16633–16647. [Google Scholar] [CrossRef]
  10. Lequieu, J.; Quah, T.; Delaney, K.T.; Fredrickson, G.H. Complete Photonic Band Gaps with Nonfrustrated ABC Bottlebrush Block Polymers. ACS Macro Lett. 2020, 9, 1074–1080. [Google Scholar] [CrossRef]
  11. Mir, S.H.; Rydzek, G.; Nagahara, L.A.; Khosla, A.; Mokarian-Tabari, P. Review—Recent Advances in Block-Copolymer Nanostructured Subwavelength Antireflective Surfaces. J. Electrochem. Soc. 2019, 167, 037502. [Google Scholar] [CrossRef]
  12. Song, D.P.; Jacucci, G.; Dundar, F.; Naik, A.; Fei, H.F.; Vignolini, S.; Watkins, J.J. Photonic Resins: Designing Optical Appearance via Block Copolymer Self-Assembly. Macromolecules 2018, 51, 2395–2400. [Google Scholar] [CrossRef] [PubMed]
  13. Wang, Z.; Chan, C.L.C.; Zhao, T.H.; Parker, R.M.; Vignolini, S. Recent Advances in Block Copolymer Self-Assembly for the Fabrication of Photonic Films and Pigments. Adv. Opt. Mater. 2021, 9, 2100519. [Google Scholar] [CrossRef]
  14. Ndaya, D.; Bosire, R.; Kasi, R.M. Spherical Photonic Nanostructures from High Molecular Weight Liquid Crystalline Brush-like Block Copolymers. ACS Appl. Polym. Mater. 2020, 2, 5511–5520. [Google Scholar] [CrossRef]
  15. Mapas, J.K.D.; Thomay, T.; Cartwright, A.N.; Ilavsky, J.; Rzayev, J. Ultrahigh Molecular Weight Linear Block Copolymers: Rapid Access by Reversible-Deactivation Radical Polymerization and Self-Assembly into Large Domain Nanostructures. Macromolecules 2016, 49, 3733–3738. [Google Scholar] [CrossRef]
  16. Appold, M.; Grune, E.; Frey, H.; Gallei, M. One-Step Anionic Copolymerization Enables Formation of Linear Ultrahigh-Molecular-Weight Block Copolymer Films Featuring Vivid Structural Colors in the Bulk State. ACS Appl. Mater. Interfaces 2018, 10, 18202–18212. [Google Scholar] [CrossRef]
  17. Olson, R.A.; Lott, M.E.; Garrison, J.B.; Davidson Iv, C.L.G.; Trachsel, L.; Pedro, D.I.; Sawyer, W.G.; Sumerlin, B.S. Inverse Miniemulsion Photoiniferter Polymerization for the Synthesis of Ultrahigh Molecular Weight Polymers. Macromolecules 2022, 55, 8451–8460. [Google Scholar] [CrossRef]
  18. Hofman, A.H.; Reza, M.; Ruokolainen, J.; ten Brinke, G.; Loos, K. The Origin of Hierarchical Structure Formation in Highly Grafted Symmetric Supramolecular Double-Comb Diblock Copolymers. Macromol. Rapid Commun. 2017, 38, 1700288. [Google Scholar] [CrossRef] [PubMed]
  19. Patel, B.B.; Walsh, D.J.; Kim, D.H.; Kwok, J.; Lee, B.; Guironnet, D.; Diao, Y. Tunable structural color of bottlebrush block copolymers through direct-write 3D printing from solution. Sci. Adv. 2020, 6, eaaz7202. [Google Scholar] [CrossRef]
  20. Matsushita, Y.; Takano, A.; Vayer, M.; Sinturel, C. Nonclassical Block Copolymer Self-Assembly Resulting from a Constrained Location of Chains and Junctions. Adv. Mater. Interfaces 2020, 7, 1902007. [Google Scholar] [CrossRef]
  21. Moriceau, G.; Kilchoer, C.; Djeghdi, K.; Weder, C.; Steiner, U.; Wilts, B.D.; Gunkel, I. Photonic Particles Made by the Confined Self-Assembly of a Supramolecular Comb-Like Block Copolymer. Macromol. Rapid Commun. 2021, 42, 2100522. [Google Scholar] [CrossRef]
  22. Cummins, C.; Alvarez-Fernandez, A.; Bentaleb, A.; Hadziioannou, G.; Ponsinet, V.; Fleury, G. Strategy for Enhancing Ultrahigh-Molecular-Weight Block Copolymer Chain Mobility to Access Large Period Sizes (>100 nm). Langmuir 2020, 36, 13872–13880. [Google Scholar] [CrossRef] [PubMed]
  23. Hnatchuk, N.; Hathaway, E.; Cui, J.; Li, X. Nonequilibrium Self-Assembly of Ultrahigh-Molecular-Weight Block Copolymers into an Asymmetric Nanostructure. ACS Appl. Polym. Mater. 2022, 4, 7311–7320. [Google Scholar] [CrossRef]
  24. Sperschneider, A.; Hund, M.; Schoberth, H.G.; Schacher, F.H.; Tsarkova, L.; Müller, A.H.E.; Böker, A. Going beyond the Surface: Revealing Complex Block Copolymer Morphologies with 3D Scanning Force Microscopy. ACS Nano 2010, 4, 5609–5616. [Google Scholar] [CrossRef] [PubMed]
  25. Sinturel, C.; Vayer, M.; Morris, M.; Hillmyer, M.A. Solvent vapor annealing of block polymer thin films. Macromolecules 2013, 46, 5399–5415. [Google Scholar] [CrossRef]
  26. Kim, M.J.; Park, W.I.; Choi, Y.J.; Jung, Y.K.; Kim, K.H. Ultra-rapid pattern formation of block copolymers with a high-χ parameter in immersion annealing induced by a homopolymer. RSC Adv. 2016, 6, 21105–21110. [Google Scholar] [CrossRef]
  27. Kim, E.; Ahn, H.; Park, S.; Lee, H.; Lee, M.; Lee, S.; Kim, T.; Kwak, E.A.; Lee, J.H.; Lei, X.; et al. Directed Assembly of High Molecular Weight Block Copolymers: Highly Ordered Line Patterns of Perpendicularly Oriented Lamellae with Large Periods. ACS Nano 2013, 7, 1952–1960. [Google Scholar] [CrossRef]
  28. Cheng, X.; Böker, A.; Tsarkova, L. Temperature-Controlled Solvent Vapor Annealing of Thin Block Copolymer Films. Polymers 2019, 11, 1312. [Google Scholar] [CrossRef]
  29. Pula, P.; Leniart, A.; Majewski, P.W. Solvent-assisted self-assembly of block copolymer thin films. Soft Matter 2022, 18, 4042–4066. [Google Scholar] [CrossRef]
  30. Cao, W.; Xia, S.; Appold, M.; Saxena, N.; Biessmann, L.; Grott, S.; Li, N.; Gallei, M.; Bernstorff, S.; Mueller-Buschbaum, P. Self-Assembly in ultrahigh molecular weight sphere-forming diblock copolymer thin films under strong confinement. Sci. Rep. 2019, 9, 18269. [Google Scholar] [CrossRef]
  31. Lundy, R.; Flynn, S.P.; Cummins, C.; Kelleher, S.M.; Collins, M.N.; Dalton, E.; Daniels, S.; Morris, M.A.; Enright, R. Controlled solvent vapor annealing of a high χ block copolymer thin film. Phys. Chem. Chem. Phys. 2017, 19, 2805–2815. [Google Scholar] [CrossRef] [PubMed]
  32. Knoll, A.; Magerle, R.; Krausch, G. Phase behavior in thin films of cylinder-forming ABA block copolymers: Experiments. J. Chem. Phys. 2004, 120, 1105–1116. [Google Scholar] [CrossRef] [PubMed]
  33. Albert, J.N.L.; Bogart, T.D.; Lewis, R.L.; Beers, K.L.; Fasolka, M.J.; Hutchison, J.B.; Vogt, B.D.; Epps, T.H. Gradient Solvent Vapor Annealing of Block Copolymer Thin Films Using a Microfluidic Mixing Device. Nano Lett. 2011, 11, 1351–1357. [Google Scholar] [CrossRef] [PubMed]
  34. Stenbock-Fermor, A.; Knoll, A.W.; Böker, A.; Tsarkova, L. Enhancing Ordering Dynamics in Solvent-Annealed Block Copolymer Films by Lithographic Hard Mask Supports. Macromolecules 2014, 47, 3059–3067. [Google Scholar] [CrossRef]
  35. Jin, C.; Olsen, B.C.; Luber, E.J.; Buriak, J.M. Nanopatterning via Solvent Vapor Annealing of Block Copolymer Thin Films. Chem. Mater. 2017, 29, 176–188. [Google Scholar] [CrossRef]
  36. Park, S.; Kim, Y.; Ahn, H.; Kim, J.H.; Yoo, P.J.; Ryu, D.Y. Giant Gyroid and Templates from High-Molecular-Weight Block Copolymer Self-assembly. Sci. Rep. 2016, 6, 36326. [Google Scholar] [CrossRef]
  37. Selkirk, A.; Prochukhan, N.; Lundy, R.; Cummins, C.; Gatensby, R.; Kilbride, R.; Parnell, A.; Vasquez, J.B.; Morris, M.; Mokarian-Tabari, P. Optimization and Control of Large Block Copolymer Self-Assembly via Precision Solvent Vapor Annealing. Macromolecules 2021, 54, 1203–1215. [Google Scholar] [CrossRef] [PubMed]
  38. Park, S.; Cheng, X.; Böker, A.; Tsarkova, L. Hierarchical Manipulation of Block Copolymer Patterns on 3D Topographic Substrates: Beyond Graphoepitaxy. Adv. Mater. 2016, 28, 6900–6905. [Google Scholar] [CrossRef]
  39. Weller, D.W.; Galuska, L.; Wang, W.; Ehlenburg, D.; Hong, K.; Gu, X. Roll-to-Roll Scalable Production of Ordered Microdomains Through Nonvolatile Additive Solvent Annealing of Block Copolymers. Macromolecules 2019, 52, 5026–5032. [Google Scholar] [CrossRef]
  40. Doerk, G.S.; Li, R.; Fukuto, M.; Yager, K.G. Wet Brush Homopolymers as “Smart Solvents” for Rapid, Large Period Block Copolymer Thin Film Self-Assembly. Macromolecules 2020, 53, 1098–1113. [Google Scholar] [CrossRef]
  41. Isozaki, Y.; Higashiharaguchi, S.; Kaneko, N.; Yamazaki, S.; Taniguchi, T.; Karatsu, T.; Ueda, Y.; Motokawa, R. Polymer Photonic Crystals Prepared by Triblock Copolymerization-induced in situ Microphase Separation. Chem. Lett. 2022, 51, 625–628. [Google Scholar] [CrossRef]
  42. Ogieglo, W.; Stenbock-Fermor, A.; Juraschek, T.M.; Bogdanova, Y.; Benes, N.; Tsarkova, L.A. Synergic Swelling of Interactive Network Support and Block Copolymer Films during Solvent Vapor Annealing. Langmuir 2018, 34, 9950–9960. [Google Scholar] [CrossRef] [PubMed]
  43. Modi, A.; Bhaway, S.M.; Vogt, B.D.; Douglas, J.F.; Al-Enizi, A.; Elzatahry, A.; Sharma, A.; Karim, A. Direct Immersion Annealing of Thin Block Copolymer Films. ACS Appl. Mater. Interfaces 2015, 7, 21639–21645. [Google Scholar] [CrossRef] [PubMed]
  44. Takano, K.; Nyu, T.; Maekawa, T.; Seki, T.; Nakatani, R.; Komamura, T.; Hayakawa, T.; Hayashi, T. Real-time and in situ observation of structural evolution of giant block copolymer thin film under solvent vapor annealing by atomic force microscopy. RSC Adv. 2020, 10, 70–75. [Google Scholar] [CrossRef] [PubMed]
  45. Kulkarni, A.A.; Doerk, G.S. Hierarchical, Self-Assembled Metasurfaces via Exposure-Controlled Reflow of Block Copolymer-Derived Nanopatterns. ACS Appl. Mater. Interfaces 2022, 14, 27466–27475. [Google Scholar] [CrossRef] [PubMed]
  46. Zou, Y.; Zhou, X.; Ma, J.; Yang, X.; Deng, Y. Recent advances in amphiphilic block copolymer templated mesoporous metal-based materials: Assembly engineering and applications. Chem. Soc. Rev. 2020, 49, 1173–1208. [Google Scholar] [CrossRef]
  47. Subramanian, A.; Doerk, G.; Kisslinger, K.; Yi, D.H.; Grubbs, R.B.; Nam, C.Y. Three-dimensional electroactive ZnO nanomesh directly derived from hierarchically self-assembled block copolymer thin films. Nanoscale 2019, 11, 9533–9546. [Google Scholar] [CrossRef] [PubMed]
  48. Esmeraldo Paiva, A.; Baez Vasquez, J.F.; Selkirk, A.; Prochukhan, N.; Medeiros Borsagli, F.G.L.; Morris, M. Highly Ordered Porous Inorganic Structures via Block Copolymer Lithography: An Application of the Versatile and Selective Infiltration of the “Inverse” P2VP-b-PS System. ACS Appl. Mater. Interfaces 2022, 14, 35265–35275. [Google Scholar] [CrossRef] [PubMed]
  49. Ma, M.; Liu, R.; Su, T.; Sun, Z.; Ross, C.A. Reversible Morphology Locking via Metal Infiltration in a Block Copolymer. ACS Nano 2023, 17, 12225–12233. [Google Scholar] [CrossRef]
  50. Ginige, G.; Song, Y.; Olsen, B.C.; Luber, E.J.; Yavuz, C.T.; Buriak, J.M. Solvent Vapor Annealing, Defect Analysis, and Optimization of Self-Assembly of Block Copolymers Using Machine Learning Approaches. ACS Appl. Mater. Interfaces 2021, 13, 28639–28649. [Google Scholar] [CrossRef]
  51. Lodge, T.P.; Hanley, K.J.; Pudil, B.; Alahapperuma, V. Phase Behavior of Block Copolymers in a Neutral Solvent. Macromolecules 2003, 36, 816–822. [Google Scholar] [CrossRef]
  52. Fredrickson, G.H.; Leibler, L. Theory of block copolymer solutions. Macromolecules 1989, 22, 1238–1250. [Google Scholar] [CrossRef]
  53. Delacruz, M.O. Theory of Microphase Separation in Block Copolymer Solutions. J. Chem. Phys. 1989, 90, 1995–2002. [Google Scholar]
  54. Gotrik, K.W.; Ross, C.A. Solvothermal Annealing of Block Copolymer Thin Films. Nano Lett. 2013, 13, 5117–5122. [Google Scholar] [CrossRef]
  55. Knoll, A.; Horvat, A.; Lyakhova, K.S.; Krausch, G.; Sevink, G.J.A.; Zvelindovsky, A.V.; Magerle, R. Phase behavior in thin films of cylinder-forming block copolymers. Phys. Rev. Lett. 2002, 89, 035501. [Google Scholar] [CrossRef]
  56. Neppalli, S.N.; Collins, T.W.; Gholamvand, Z.; Cummins, C.; Morris, M.A.; Mokarian-Tabari, P. Defining Swelling Kinetics in Block Copolymer Thin Films: The Critical Role of Temperature and Vapour Pressure Ramp. Polymers 2021, 13, 4238. [Google Scholar] [CrossRef] [PubMed]
  57. Rasappa, S.; Schulte, L.; Borah, D.; Morris, M.A.; Ndoni, S. Rapid, Brushless Self-assembly of a PS-b-PDMS Block Copolymer for Nanolithography. Colloid Interface Sci. Commun. 2014, 2, 1–5. [Google Scholar] [CrossRef]
  58. Ludwigs, S.; Böker, A.; Abetz, V.; Müller, A.H.; Krausch, G. Phase behavior of linear polystyrene-block-poly(2-vinylpyridine)-block-poly(tert-butyl methacrylate) triblock terpolymers. Polymer 2003, 44, 6815–6823. [Google Scholar] [CrossRef]
  59. Max, E.; Hund, M.; Potemkin, I.I.; Tsarkova, L. Floated Lamella Films of Styrenic Block Copolymers: Local Shearing Deformations and Heterogeneous Layer at the Substrate. Macromolecules 2014, 47, 316–323. [Google Scholar] [CrossRef]
  60. Vayer, M.; Vital, A.; Sinturel, C. New Insights into Polymer-Solvent Affinity in Thin Films. Eur. Polym. J. 2017, 93, 132–139. [Google Scholar] [CrossRef]
  61. Gensel, J.; Liedel, C.; Schoberth, H.G.; Tsarkova, L. “Micro-structure-macro-response” relationship in swollen block copolymer films. Soft Matter 2009, 5, 2534–2537. [Google Scholar] [CrossRef]
  62. Horvat, A.; Sevink, G.J.A.; Zvelindovsky, A.V.; Krekhov, A.; Tsarkova, L. Specific Features of Defect Structure and Dynamics in the Cylinder Phase of Block Copolymers. ACS Nano 2008, 2, 1143–1152. [Google Scholar] [CrossRef] [PubMed]
  63. Tsarkova, L. Distortion of a Unit Cell versus Phase Transition to Nonbulk Morphology in Frustrated Films of Cylinder-Forming Polystyrene-b-polybutadiene Diblock Copolymers. Macromolecules 2012, 45, 7985–7994. [Google Scholar] [CrossRef]
Figure 1. Sketch of the annealing set up. Reprinted from Ref [28] with permission.
Figure 1. Sketch of the annealing set up. Reprinted from Ref [28] with permission.
Polymers 16 01943 g001
Figure 2. SFM topography images of PS-PVP films with hdry= 42 ± 2 nm annealed: (ac) under indicated Tv/Ts and 100% p/p0 of chloroform vapor for 10 min. Ds = hsw/hdry represents a degree of swelling. The scale bar in each image is 1 μm.
Figure 2. SFM topography images of PS-PVP films with hdry= 42 ± 2 nm annealed: (ac) under indicated Tv/Ts and 100% p/p0 of chloroform vapor for 10 min. Ds = hsw/hdry represents a degree of swelling. The scale bar in each image is 1 μm.
Polymers 16 01943 g002
Figure 3. SFM height (a), adhesion (b) and modulus (c) images taken by PeakForce Tapping of PS-PVP film with a dry thickness of ~40 nm annealed in 100% p/p0 chloroform vapors at Tv/Ts 25/26 °C. The white scale bar in each image corresponds to 1 μm.
Figure 3. SFM height (a), adhesion (b) and modulus (c) images taken by PeakForce Tapping of PS-PVP film with a dry thickness of ~40 nm annealed in 100% p/p0 chloroform vapors at Tv/Ts 25/26 °C. The white scale bar in each image corresponds to 1 μm.
Polymers 16 01943 g003
Figure 4. SFM topography images of PS-PVP films with hdry= 42 ± 2 nm annealed under Tv/Ts of (a) 14/20 °C, (b)24/30 °C, (c) 19/20 °C, and (d) 29/30 °C with 100% p/p0 of chloroform vapor for 200 min. The corresponding Ds are located at the top of each image. hsw are ~70 nm and ~102 nm for Ds ~1.7 and ~2.5, respectively. The white scale bar in each image corresponds to 1 μm. Evaluated domain spacings are shown in Table 1 (Figures S4 and S5, Supplemental Information).
Figure 4. SFM topography images of PS-PVP films with hdry= 42 ± 2 nm annealed under Tv/Ts of (a) 14/20 °C, (b)24/30 °C, (c) 19/20 °C, and (d) 29/30 °C with 100% p/p0 of chloroform vapor for 200 min. The corresponding Ds are located at the top of each image. hsw are ~70 nm and ~102 nm for Ds ~1.7 and ~2.5, respectively. The white scale bar in each image corresponds to 1 μm. Evaluated domain spacings are shown in Table 1 (Figures S4 and S5, Supplemental Information).
Polymers 16 01943 g004
Figure 5. SFM topography images of the free surface (Top, a,c) of PS-PVP films after annealing and of the backward side of the same sample after floating and deposition on a new substrate (Bottom, b,d). The scale bar is 1 μm. (e) Schematic of the swollen and quenched film resulting in topographic pattern (i,ii), of the film floating (iii) and re-deposition on a new substrate (iv). The arrows in (iii) indicate the detachment of the film from the Si substrate to the surface of the NaOH solution, followed by the pick-up of the film bottom-up with a fresh substrate and the adhesion of the film.
Figure 5. SFM topography images of the free surface (Top, a,c) of PS-PVP films after annealing and of the backward side of the same sample after floating and deposition on a new substrate (Bottom, b,d). The scale bar is 1 μm. (e) Schematic of the swollen and quenched film resulting in topographic pattern (i,ii), of the film floating (iii) and re-deposition on a new substrate (iv). The arrows in (iii) indicate the detachment of the film from the Si substrate to the surface of the NaOH solution, followed by the pick-up of the film bottom-up with a fresh substrate and the adhesion of the film.
Polymers 16 01943 g005aPolymers 16 01943 g005b
Figure 6. SFM topography images of the block copolymer template (a), of the etched sample (b) and of the transferred pattern (c). The scale bar is 1 μm. (d) Cross-sectional profiles of the samples, as indicated.
Figure 6. SFM topography images of the block copolymer template (a), of the etched sample (b) and of the transferred pattern (c). The scale bar is 1 μm. (d) Cross-sectional profiles of the samples, as indicated.
Polymers 16 01943 g006
Figure 7. SFM topography (a,b) and phase (c) images of a striped template (a) and of respective metalized pattern (c). (d) Comparison of cross-section profiles of the structures. (e) SEM image of the metalized pattern with a close-up as inset. The scale bar in each image represents 1 μm.
Figure 7. SFM topography (a,b) and phase (c) images of a striped template (a) and of respective metalized pattern (c). (d) Comparison of cross-section profiles of the structures. (e) SEM image of the metalized pattern with a close-up as inset. The scale bar in each image represents 1 μm.
Polymers 16 01943 g007aPolymers 16 01943 g007b
Figure 8. (a) Swelling kinetics of PS-PVP film annealed in 100% p/p0 chloroform vapors at Tv/Ts = 14/20 °C. A, B, C and D correspond to the topography imagies of the PS-PVP film in (b). Arrows indicate the indicated annealing duration. (b) SFM topography images A, B, C, D of the surface structures of spin-coated film and of the samples after 1 min, 5 min and 10 min of exposure to the solvent vapors. The white scale bar in each image corresponds to 1 μm.
Figure 8. (a) Swelling kinetics of PS-PVP film annealed in 100% p/p0 chloroform vapors at Tv/Ts = 14/20 °C. A, B, C and D correspond to the topography imagies of the PS-PVP film in (b). Arrows indicate the indicated annealing duration. (b) SFM topography images A, B, C, D of the surface structures of spin-coated film and of the samples after 1 min, 5 min and 10 min of exposure to the solvent vapors. The white scale bar in each image corresponds to 1 μm.
Polymers 16 01943 g008
Table 1. Dimensions of the patterns shown in Figure 4.
Table 1. Dimensions of the patterns shown in Figure 4.
Ds = hsw/hdry1.661.732.472.45
Tv/Ts °C14/2024/3019/2029/30
CCD, nm125 ± 29115 ± 22120 ± 32111 ± 42
Depth, nm6.2 ± 1.55.0 ± 1.04.7 ± 0.66.8 ± 0.5
Table 2. Dimensions of the template and transferred pattern shown and evaluated in Figure 6.
Table 2. Dimensions of the template and transferred pattern shown and evaluated in Figure 6.
Mask from Figure 6aTransferred Pattern from Figure 6c
CCD116 ± 22 nm116 ± 23 nm
CD65 ± 5 nm74 ± 6 nm
Depth of holes7 ± 1 nm7 ± 1 nm
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Cheng, X.; Tempeler, J.; Danylyuk, S.; Böker, A.; Tsarkova, L. Disclosing Topographical and Chemical Patterns in Confined Films of High-Molecular-Weight Block Copolymers under Controlled Solvothermal Annealing. Polymers 2024, 16, 1943. https://doi.org/10.3390/polym16131943

AMA Style

Cheng X, Tempeler J, Danylyuk S, Böker A, Tsarkova L. Disclosing Topographical and Chemical Patterns in Confined Films of High-Molecular-Weight Block Copolymers under Controlled Solvothermal Annealing. Polymers. 2024; 16(13):1943. https://doi.org/10.3390/polym16131943

Chicago/Turabian Style

Cheng, Xiao, Jenny Tempeler, Serhiy Danylyuk, Alexander Böker, and Larisa Tsarkova. 2024. "Disclosing Topographical and Chemical Patterns in Confined Films of High-Molecular-Weight Block Copolymers under Controlled Solvothermal Annealing" Polymers 16, no. 13: 1943. https://doi.org/10.3390/polym16131943

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop