Next Article in Journal
Antifungal Activity of ZnO Nanoparticles Synthesized from Eichhornia crassipes Extract for Construction Applications
Previous Article in Journal
Electrocatalytic Oxidation of Benzaldehyde on Gold Nanoparticles Supported on Titanium Dioxide
Previous Article in Special Issue
Generation and Storage of Random Voltage Values via Ring Oscillators Comprising Feedback Field-Effect Transistors
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Accurate Evaluation of Electro-Thermal Performance in Silicon Nanosheet Field-Effect Transistors with Schemes for Controlling Parasitic Bottom Transistors

by
Jinsu Jeong
,
Sanguk Lee
and
Rock-Hyun Baek
*
Department of Electrical Engineering, Pohang University of Science and Technology (POSTECH), Pohang 37673, Republic of Korea
*
Author to whom correspondence should be addressed.
Nanomaterials 2024, 14(12), 1006; https://doi.org/10.3390/nano14121006
Submission received: 17 May 2024 / Revised: 6 June 2024 / Accepted: 7 June 2024 / Published: 10 June 2024
(This article belongs to the Special Issue Nanostructured Electronic Components and Devices)

Abstract

:
The electro-thermal performance of silicon nanosheet field-effect transistors (NSFETs) with various parasitic bottom transistor (trpbt)-controlling schemes is evaluated. Conventional punch-through stopper, trench inner-spacer (TIS), and bottom oxide (BOX) schemes were investigated from single-device to circuit-level evaluations to avoid overestimating heat’s impact on performance. For single-device evaluations, the TIS scheme maintains the device temperature 59.6 and 50.4 K lower than the BOX scheme for n/pFETs, respectively, due to the low thermal conductivity of BOX. However, when the over-etched S/D recess depth (TSD) exceeds 2 nm in the TIS scheme, the RC delay becomes larger than that of the BOX scheme due to increased gate capacitance (Cgg) as the TSD increases. A higher TIS height prevents the Cgg increase and exhibits the best electro-thermal performance at single-device operation. Circuit-level evaluations are conducted with ring oscillators using 3D mixed-mode simulation. Although TIS and BOX schemes have similar oscillation frequencies, the TIS scheme has a slightly lower device temperature. This thermal superiority of the TIS scheme becomes more pronounced as the load capacitance (CL) increases. As CL increases from 1 to 10 fF, the temperature difference between TIS and BOX schemes widens from 1.5 to 4.8 K. Therefore, the TIS scheme is most suitable for controlling trpbt and improving electro-thermal performance in sub-3 nm node NSFETs.

1. Introduction

Silicon fin field-effect transistors (FinFETs) have been successfully scaled down to 3 nm nodes and have gained acceptance in the logic foundry industry [1]. The downscaling made the fin channels thinner and taller to maintain electrostatics and performance. Nevertheless, the significantly heightened fin aspect ratio resulted in a structural instability of the fins, leading to their bending and breaking [2,3]. Furthermore, the number of fins per device was depopulated from 3 to 1 fin to reduce the cell height. Performance modulation of FinFETs mainly depends on adjusting the number of fins; therefore, fin depopulation is a challenge for circuit design with FinFETs. To overcome the limitations of FinFETs, vertically stacked silicon gate-all-around nanosheet (NS) FETs have emerged as a replacement for FinFETs [4,5]. In contrast to FinFETs, NS channels are laterally wide, resulting in a low aspect ratio and stable structure [5]. In addition, continuous performance modulation is possible by adjusting the NS width, which enables flexible circuit design.
Regrettably, the distinctive structure of NSFETs leads to a parasitic bottom transistor (trpbt) that forms beneath the bottommost NS channel [6,7,8]. The trpbt is a critical failure factor that causes significant punch-through leakage because gate controllability is not achieved in the trpbt. Conventionally, a counter-doped punch-through stopper (PTS) layer has been used to control the leakage in trpbt [9]. However, the PTS loses its ability to control the leakage if the source/drain (S/D) recess is unintentionally over-etched [6,10].
The insertion of dielectric layers between the S/D and the substrate, known as the bottom oxide (BOX) scheme, is one of the commonly known methods to control the trpbt [10,11]. BOX acts as an etch-stop layer that regulates the S/D recess depth variations. In addition, BOX allows for the thorough blocking of the leakage path. Alternatively, the trench inner-spacer (TIS) scheme was proposed in our previous study to control trpbt, even under over-etched S/D recess conditions [12]. In the TIS scheme, the bottom inner-spacer is extended toward the PTS layer, preventing S/D dopants from diffusing into the channel of the trpbt (see Figure 1 for details). The TIS scheme is immune to variation in the over-etched S/D recess depth (TSD) because the TIS height is always higher than the TSD.
The main difference between the BOX and TIS schemes is the presence of an oxide layer between the S/D and the substrate. As the heat generated in the channels is primarily dissipated from the drain toward the substrate, BOX with a lower thermal conductivity (κ) than silicon hinders the heat dissipation [12,13]. This implies that the temperature of the device differs depending on the scheme used. Consequently, the electro-thermal performance may also vary, taking into account the self-heating effect (SHE).
Previous studies have compared the electro-thermal characteristics considering the schemes used to control the trpbt, but they have some limitations. First, some studies compared the electro-thermal performance between conventional (Conv) and BOX schemes [14,15]. However, one of them focused solely on the evaluation of the heat dissipation capability without considering the effects of heat on electrical performance. The other study primarily examined the operation of a single device in steady-state DC and neglected the heating and cooling cycles of the devices. As a result, the effects of heat on electrical performance may be overestimated. In contrast, a comparison between TIS and BOX schemes by considering the heating and cooling cycles was investigated in our previous work [12]. However, only the ability to dissipate heat during single-device operations was evaluated without quantitatively investigating the effects of heat on electrical performance. In addition, no circuit-level evaluation was conducted to reflect the actual behavior of the system-on-chip (SoC) product.
Therefore, an accurate and comprehensive evaluation of the electro-thermal performance in sub-3 nm node NSFETs with the Conv, TIS, and BOX schemes for the single-device-to-circuit level is conducted in this study. First, the device structures and simulation methods are presented in Section 2. Secondly, Section 3.1 examines the electro-thermal performance at the single-device level in steady state. Finally, in Section 3.2, the electro-thermal performance of a ring oscillator (RO) is compared based on the transient characteristics using a 3D mixed-mode simulation. All characteristics are based on fully calibrated Synopsys Sentaurus Technology Computer-Aided Design (TCAD) simulations [16,17].

2. Simulation Structure and Methodology

The TCAD simulation framework for 3-stacked silicon NSFETs was defined as follows. Both process and device simulations were performed using the Sentaurus process and device simulator [16,17]. First, we benchmarked the NSFET structures in [4] and calibrated the simulated data and parameters to match the measured transfer curves, as described in our previous work [12]. Subsequently, the NSFETs were scaled down to specifications suitable for sub-3 nm node, and then schemes were applied to control the trpbt. The PTS layer was doped with 2 × 1018 cm−3 in the Conv and TIS schemes, but undoped in the BOX scheme [11].
Figure 1 presents detailed NSFET structures with the Conv, TIS, and BOX schemes, where the regions with trpbt are highlighted. Geometric and device parameters are listed in Table 1. The TIS and BOX schemes each include an additional process step compared to the Conv scheme: trench patterning prior to the Si and sacrificial layer (SiGe0.3) epi stacking for the TIS scheme [12], and oxide deposition before the S/D epi growth for the BOX scheme. Here, The TIS scheme leaves SiGe0.3 residues under the TIS, and the BOX thickness (TBOX) was determined as 10 nm. Various TSD values were considered in the Conv and TIS schemes to thoroughly verify the effects of trpbt.
For the device and circuit simulations, a comprehensive set of physical models was integrated to simulate various aspects of semiconductor behavior. A self-consistent hydrodynamic model was used to ensure accurate carrier and energy transport. This also facilitated the calculation of device temperatures, which reflect the thermal effects on device performance [18]. The inversion and accumulation layer model was included to account for Coulomb, phonon, and scattering from rough surfaces for carrier mobility. In addition, low-field ballistic mobility was included to account for an additional contribution to low-field mobility for devices with very short channels. The low-field mobility model was adjusted so that the simulated data matched the measured data. The Shockley–Read–Hall and Auger models considered recombination and generation, while the Hurkx model was implemented for band-to-band tunneling. To account for quantum mechanical effects, the density gradient model was used. Furthermore, the deformation potential model was included to consider strain-induced changes in the band structure, and the Slotboom model was incorporated to analyze the effects of doping on bandgap narrowing.
κ of semiconductor regions was calculated based on the Boltzmann transport equations for phonons with relaxation time approximation. In this context, dependencies of κ on physical size, doping types and concentration, alloy composition, and lattice temperature were considered [19,20,21,22,23]. The Sentaurus device simulator provides built-in functions for a self-consistent calculation of κ, and the κ values at 300 K are summarized in Table 2. For the thermal boundary condition, the adiabatic sidewalls along with the contact thermal resistivities of the substrate (rth,sub) and the BEOL (rth,BEOL) to be connected to the heat sink at 300 K were assumed. The rth,sub and rth,BEOL were calculated on a Si substrate with dimensions of 5 × 5 μm2 (area), a thickness of 50 μm, and a BEOL height of 1 μm (contact-M10).

3. Results and Discussion

3.1. Evaluation of Electro-Thermal Performance of Single-Device Operations under Steady State

The transfer curves of Conv-NSFETs as a function of different TSD are presented in Figure 2a. Here, the off-state current (Ioff) at TSD = 0 nm was fixed to 1 nA to allow for a fair comparison. The Ioff was defined as the drain current (Ids) at VGS = 0 V and |VDS| = 0.7 V. The Conv scheme shows a pronounced increase in Ioff as the TSD increases. In particular, for nFETs with TSD ≥ 4 nm, and for pFETs with TSD ≥ 6 nm, Ioff becomes 10 times larger than that of TSD = 0, resulting in critical failures in the SoC products [6,24]. On-state current (Ion) also increases with increasing TSD as the leakage current in the trpbt contributes to Ion (Ion was defined as Ids at |VGS| = |VDS| = 0.7 V). In contrast, the TIS scheme completely controls the trpbt, even in deep TSD, by preventing S/D dopants from diffusing into the channel of the trpbt (Figure 2b) [12]. Thus, the Ion in the TIS scheme is not affected by the TSD variations. The TSD variations do not occur in the BOX scheme, and the trpbt has no effect on the Ion.
Furthermore, Figure 2 shows the effects of the SHE on the Ids. In all three schemes, the Ioff is rarely affected by SHE, but the Ion is affected. This is attributed to the negligible heat generation in the off-state, which is due to the low current level. In contrast, Ion is large enough to cause joule-heating. There are two points of analysis regarding the SHE in Figure 2: (1) the opposite Ion change trend caused by the SHE in the n/pFET, and (2) the comparison of Ion in the Con, TIS, and BOX schemes under the SHE.
First, in all schemes, the Ion under the SHE (Ion_SHE) is larger than that without SHE (Ion_noSHE) in nFETs, whereas it is smaller in pFETs (Figure 3a). This is because the maximum lattice temperature (Tmax) in pFETs is higher than that in nFETs due to the smaller κ of SiGe0.5 S/D than that of SiC0.02 S/D (Figure 3b). An increase in the lattice temperature leads to two opposing phenomena in Ion: (1) an increase in carrier density (ne, nh) and (2) a reduction in carrier mobility (μe, μh) (Figure 3c,d). The increase in carrier density is because the elevated Tmax results in an increased intrinsic carrier density. The reduction in carrier mobility is caused by increased phonon scattering. The increase in carrier density is dominant at a low VGS and the reduction in carrier mobility is dominant at a high VGS. A crossover point (Vco) then occurs, at which the magnitudes of Ids_SHE and Ids_noSHE are reversed. Vco is defined as the VGS at which Ids_SHE = Ids_noSHE.
In Figure 3a, Ids_SHE is larger than Ids_noSHE when the VGS is smaller than the Vco. This is because the increased carrier density due to the elevated Tmax dominates over the reduction in carrier mobility for VGSVco. For the VGSVco, however, the Ids_SHE becomes smaller as the contributions of these two factors are reversed. Here, both μe and μh. have almost the same temperature dependence [25], and the Tmax of pFET is larger than that of nFETs. Thus, the reduction in μh in pFET is significantly larger than μe in nFET. As a result, Vco was determined at a lower VGS in pFETs than in nFETs (0.8 V for nFETs and −0.65 V for pFETs). Therefore, the Ion_SHE is larger than the Ion_noSHE nFETs, whereas it is smaller in pFETs.
Secondly, the three schemes show different trends of Ion with respect to the TSD (Figure 2). The Ion in the Conv scheme increases linearly with deeper TSD as the leakage current through the trpbt contributes to Ion. However, the Ion in the TIS scheme hardly varies with the TSD due to the suppression of the leakage in trpbt. Moreover, for nFETs, the TIS scheme has a 3.1% higher Ion_SHE value compared to the BOX scheme, although both schemes have almost the same Ion_noSHE value. Similarly, for pFETs, the TIS scheme has a 2.2% higher Ion_SHE value than the BOX scheme.
The reason for the TIS and BOX schemes showing different changes in Ion_SHE is attributed to the different Tmax values. Conv and TIS schemes with TSD = 0 nm have similar Tmax because the heat can dissipate unhindered through the substrate (Figure 4). The similarity in Tmax between the TIS and Conv schemes is an advantage of the TIS scheme, as it means that the TIS scheme can control the impact of trpbt without affecting thermal performance. However, the lower κ of BOX compared to the S/D epitaxy hinders heat dissipation, causing the BOX scheme to have a significantly higher Tmax than the others. Furthermore, Tmax in the on-state (Tmax_on) increases linearly with the larger TSD, as the S/D epitaxies with the lower κ than PTS intrudes the PTS (Figure 5a). Nevertheless, the BOX scheme in n/pFETs still exhibits 59.6 and 50.4 K higher Tmax_on compared with those of the TIS scheme with TSD = 6 nm, respectively. As a result, the reduction in carrier mobility due to the SHE is greater in the BOX scheme than in the TIS scheme. Especially in nFETs, the Vco in the BOX scheme is significantly lower than that in the TIS scheme due to the Tmax difference (Figure 5b,c). Therefore, the increase in Ion due to the SHE is more pronounced in the TIS scheme than in the BOX scheme, resulting in a higher Ion_SHE of the TIS scheme for nFETs.
Figure 6 shows the gate capacitance (Cgg) as a function of VGS in Conv, TIS, and BOX schemes. As increased intrinsic carriers respond to the small signal of the gate due to elevated Tmax, the SHE increases the Cgg in all schemes. In the Conv scheme, the Cgg increase with a large TSD is also due to the increased inversion capacitance of the trpbt (Figure 6a) [6]. In contrast, in the TIS scheme, Cgg is not dependent on the TSD at low VGS. However, at high VGS, Cgg increases with a large TSD because carriers in the source are pulled toward the PTS and respond to the small signal of the gate (inset of Figure 6b) [12]. For this reason, the formation of a deeper TIS allows for a reduction in Cgg. The TIS scheme inevitably leaves residues of the SiGe0.3 sacrificial layer beneath the TIS during the isotropic selective etch of the sacrificial layer for inner-space formation because the depth of the trench is larger than the height of the TIS [12]. By performing an additional cleaning step to remove the SiGe0.3 residues within the trench, the TIS can be formed to a depth equal to that of the trench. This configuration is referred to as the TIS-full scheme (Figure 7a). In the TIS-full scheme, the Cgg does not rely on the TSD, as shown in Figure 7b. Therefore, the TIS-full scheme is also used only for a comparison. The Ion and Cgg in the on-state (Cgg_on) in the four schemes are summarized in Figure 8. The TIS-full scheme has almost the same Ion as the TIS scheme. However, Cgg_on is reduced by up to 1.6% and 7.1% in the TIS-full scheme compared with the TIS scheme for n/pFETs.
For a fair performance comparison, it is necessary to account for static power consumption. Figure-of-merit (FoM) is defined as RC delay/|log10(Ioff × VDD)|, where RC delay = Cgg_on × VDD/Ion. The reason that log10 is taken for the static power term is that the contribution of static power should not be overestimated. The smaller the FoM, the better the electrical performance. Figure 9 illustrates the FoM as a function of the TSD and SHE in the four schemes. First, in the Conv scheme, the FoM increases significantly with a large TSD due to the leakage current, which spikes sharply with increasing TSD. As a result, the Conv scheme exhibits the worst device performance with TSD = 6 nm. Second, the FoM in the TIS scheme does not vary much with larger TSD, except for the pFETs under the SHE. The pFETs with TSD = 0 nm show a smaller FoM than the BOX scheme, but it increases significantly as the TSD becomes larger due to increased Cgg (Figure 6b). Third, the FoM in the TIS-full scheme is not varied by the TSD, and is consistently smaller than that of the BOX scheme regardless of the TSD. Consequently, the TIS-full scheme with the smallest FoM shows the best electro-thermal performance in single-device operation under steady-state conditions.

3.2. Electro-Thermal Performance of the Three-Stage Ring Oscillator in the 3D Mixed-Mode Simulation

Although a steady-state operation of devices offers insights into their characteristics, it can lead to an overestimation of the device temperature. In contrast to steady-state operation, where the cooling of the device is not considered, a circuit operate under transient conditions cycles through heating and cooling periodically. Therefore, circuit-level performance evaluation is essential to compare the electro-thermal performance of the four schemes. In Section B, the NSFET-based three-stage ring oscillator (RO) is simulated using 3D mixed-mode simulation (Figure 10) [15]. In mixed-mode simulation, the process-simulated devices are first integrated directly into the netlist of the circuit, and then the equations for the devices and the circuit are solved numerically at the same time. Despite the high CPU time required for this, the 3D mixed-mode simulation delivers much more accurate results than the compact model-based SPICE circuit simulation. In particular, the SHE-related physical effects on the transistor, such as the increased carrier density and reduced κ at an elevated temperature, are still not accurately captured in compact SPICE models.
Figure 11 illustrates the transient voltage waveforms in the three-stage RO. After VDD reaches its nominal value and a warm-up time of several hundred picoseconds has elapsed, the RO circuit begins to produce stable oscillation waveforms. The device temperature also oscillates with repeated heating and cooling in response to the transient voltage waveforms (Figure 12). In contrast to the Tmax under a steady-state condition where |VGS| and |VDS| are fixed at the VDD of 0.7 V and the cooling period is not considered, Tmax under the RO operation is much lower. This is because VGS and VDS oscillate rapidly within the range of 0 to VDD, and therefore, heat does not accumulate as much as in the steady state. Conv, TIS, and TIS-full schemes exhibit similar Tmax in 1 ns after RO operation (nFET: 314.0 K, pFET: 310.0 K). However, the BOX scheme still shows an approximately 2.6 and 2.1 K higher Tmax than the other schemes in n/pFET, respectively.
For a more accurate comparison of electro-thermal performance between the four schemes, fosc and Tmax after 10 cycles (Tmax_10cy) after the end of the warm-up period are examined under an iso-leakage condition (Ioff_n = Ioff_p = 1 nA) (Figure 13). In the Conv scheme, fosc decreases drastically when TSD exceeds 2 nm, and Tmax_10cy also drops accordingly. Moreover, the RO with a TSD ≥ 6 nm does not oscillate due to the very low drive current. In contrast, in TIS and TIS-full schemes, the fosc and Tmax_10cy are almost retained regardless of the TSD. Comparing the TIS, TIS-full, and BOX schemes, they exhibit almost the same fosc. However, it is observed that Tmax_10cy is 1.5 K and 1.7 K higher in the BOX scheme for n/pFET, respectively.
On the other hand, in large-scale integration, many circuits are interconnected, so the load capacitance (CL) affecting the circuit operation is quite large. Therefore, the electro-thermal performance of RO under the larger CL should also be investigated (Figure 14). The fosc value is almost the same for each scheme and inversely proportional to CL. This is because the larger CL is, the larger the capacitance of the capacitor whose transistor must generate the potential change for the RO to oscillate. Alternatively, Tmax_10cy increases with increasing CL in all schemes. This is because a lower fosc increases the heating time, and the time required to cool the transistor to 300 K increases more than the increased heating time [26]. Consequently, more residual heat remains in the transistor when the fosc decreases. The TIS and TIS-full schemes exhibit almost the same Tmax_10cy, but the BOX schemes exhibit a higher Tmax_10cy than the others. Moreover, the Tmax_10cy differences become larger as the CL increases. The Tmax_10cy differences increase from 1.5 K (1.7 K) with CL = 1 fF to 4.8 K (3.0 K) with CL = 10 fF for n(p)FET. This implies that the electro-thermal performance superiority of the TIS scheme may become more evident in SoC applications where the scale and complexity of integrated circuits continue to increase. Therefore, an RO consisting of NSFETs with TIS and TIS-full schemes can achieve the same electrical performance at lower device temperatures compared to the BOX scheme. Moreover, this superiority of TIS and TIS-full schemes becomes even more evident in circuits with a larger CL.

4. Conclusions

In this study, an accurate and comprehensive evaluation of the electro-thermal performance of NSFETs with different trpbt-controlling schemes ranging from single-device to three-stage RO circuits was performed. When evaluated for a single-device operation, the TIS scheme not only controlled the trpbt effectively, but also had a lower Tmax than the BOX scheme because heat dissipation was not hindered in the TIS scheme. The Tmax of TIS-NSFETs was 59.6 K and 50.4 K lower than that of BOX-NSFETs in n/pFETs, respectively. However, the TIS scheme has the disadvantage that Cgg_on increases with increasing TSD, resulting in a larger RC delay. This issue is particularly pronounced in pFETs, where the electro-thermal performance is worse than that of the BOX scheme when TSD exceeds 2 nm. Meanwhile, the TIS-full scheme, which increases the height of the TIS by removing SiGe0.3 residues below the TIS, enabled a reduction in Cgg_on and exhibited the best electro-thermal performance among the various schemes under iso-leakage condition. Moreover, at the RO circuit level, the TIS and TIS-full schemes exhibited slightly lower Tmax_10cy while providing comparable fosc with the BOX scheme. However, the thermal superiority was more pronounced as the CL added to the RO becomes larger. When CL was 1 fF, the difference in Tmax_10cy of the TIS scheme was 1.5 and 1.7 K lower than that of the BOX scheme for n/pFETs, respectively. However, when CL increased to 10 fF, the difference grew to 4.8 and 3.0 K for n/pFETs, respectively. In conclusion, it is highly recommended to use the TIS or TIS-full scheme to simultaneously control trpbt and improve electro-thermal performance in SoC products adapting sub-3 nm node NSFETs.

Author Contributions

Conceptualization, J.J.; methodology, J.J.; software, J.J.; validation, J.J.; formal analysis, J.J.; investigation, J.J., S.L. and R.-H.B.; resource, J.J. and S.L.; data curation, J.J. and S.L.; writing and the original draft preparation, J.J.; writing—review and editing, S.L. and R.-H.B.; visualization, J.J. and S.L.; supervision, R.-H.B.; project administration, R.-H.B.; and funding acquisition, R.-H.B. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported in part by the MOTIE (Ministry of Trade, Industry and Energy) (1415185249, 1415185352, 1415187652) and KSRC (Korea Semiconductor Research Consortium) (20020265, 20019450, 00234159) support program for the development of the future semiconductor device; in part by the National Research Foundation of Korea (NRF) through the Korea government (MSIT) (No. NRF-2022R1C1C1004925); in part by the BK21 FOUR program.

Data Availability Statement

Data are contained within the article.

Acknowledgments

The EDA tool was supported by the IC Design Education Center, Korea.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Wu, S.-Y.; Chang, C.H.; Chiang, M.C.; Lin, C.Y.; Liaw, J.J.; Cheng, J.Y.; Yeh, J.Y.; Chen, H.F.; Chang, S.Y.; Lai, K.T.; et al. A 3 nm CMOS FinFlexTM Platform Technology with Enhanced Power Efficiency and Performance for Mobile SoC and High Performance Computing Applications. In Proceedings of the 2022 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 3–7 December 2022; pp. 27.5.1–27.5.4. [Google Scholar] [CrossRef]
  2. Kim, D.-W. CMOS Transistor Architecture and Material Options for beyond 5 nm Node. In Proceedings of the Short Course of 2018 IEEE Symposium on VLSI Technology and Circuits, Honolulu, HI, USA, 18–22 June 2018. [Google Scholar]
  3. Wen, T.Y.; Colombeau, B.; Li, C.; Liu, S.Y.; Guo, B.N.; Meer, H.V.; Hou, M.; Yang, B.; Feng, H.C.; Hsu, C.F.; et al. Fin bending mitigation and local layout effect alleviation in advanced FinFET technology through material engineering and metrology optimization. In Proceedings of the 2019 IEEE Symposium on VLSI Technology, Kyoto, Japan, 9–14 June 2019; pp. T110–T111. [Google Scholar] [CrossRef]
  4. Loubet, N.; Hook, T.; Montanini, P.; Yeung, C.-W.; Kanakasabapathy, S.; Guillom, M.; Yamashita, T.; Zhang, J.; Miao, X.; Wang, J.; et al. Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET. In Proceedings of the 2017 IEEE Symposium on VLSI Technology, Kyoto, Japan, 5–8 June 2017; pp. T230–T231. [Google Scholar] [CrossRef]
  5. Jeong, J.; Lee, S.H.; Masuoka, S.-A.; Min, S.; Lee, S.; Kim, S.; Myung, T.; Choi, B.; Sohn, C.-W.; Kim, S.W.; et al. World’s First GAA 3nm Foundry Platform Technology (SF3) with Novel Multi-Bridge-Channel-FET (MBCFET™) Process. In Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 11–16 June 2023; pp. 1–2. [Google Scholar] [CrossRef]
  6. Jeong, J.; Yoon, J.-S.; Lee, S.; Baek, R.-H. Comprehensive analysis of source and drain recess depth variations on silicon nanosheet FETs for sub 5-Nm node SoC application. IEEE Access 2020, 8, 35873–35881. [Google Scholar] [CrossRef]
  7. Barraud, S.; Previtali, B.; Lapras, V.; Vizioz, C.; Hartmann, J.-M.; Martinie, S.; Lacord, J.; Casse, M.; Dourthe, L.; Loup, V.; et al. Tunability of parasitic channel in gate-all-around stacked nanosheets. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 21.3.1–21.3.4. [Google Scholar] [CrossRef]
  8. Jegadheesan, V.; Sivasankaran, K.; Konar, A. Optimized substrate for improved performance of stacked nanosheet field-effect transistor. IEEE Trans. Electron Devices 2020, 67, 4079–4084. [Google Scholar] [CrossRef]
  9. Mertens, H.; Ritzenthaler, R.; Hikavyy, A.; Kim, M.S.; Tao, Z.; Wostyn, K.; Chew, S.A.; De Keersgieter, A.; Mannaert, G.; Rosseel, E.; et al. Gate-all-around MOSFETs based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk Si substrates. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. [Google Scholar] [CrossRef]
  10. Zhang, J.; Frougier, J.; Greene, A.; Miao, X.; Yu, L.; Vega, R.; Montanini, P.; Durfee, C.; Gaul, A.; Pancharatnam, S.; et al. Full bottom dielectric isolation to enable stacked nanosheet transistor for low power and high performance applications. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 11.6.1–11.6.4. [Google Scholar] [CrossRef]
  11. Yoon, J.-S.; Jeong, J.; Lee, S.; Baek, R.-H. Bottom oxide bulk FinFETs without punch-through-stopper for extending toward 5-Nm node. IEEE Access 2019, 7, 75762–75767. [Google Scholar] [CrossRef]
  12. Jeong, J.; Yoon, J.-S.; Lee, S.; Baek, R.-H. Novel trench inner-spacer scheme to eliminate parasitic bottom transistors in silicon nanosheet FETs. IEEE Trans. Electron Devices 2023, 70, 396–401. [Google Scholar] [CrossRef]
  13. Kumar, U.S.; Rao, V.R.; Thermal-Aware, A. A Thermal-Aware Device design considerations for nanoscale SOI and bulk FinFETs. IEEE Trans. Electron Devices 2016, 63, 280–287. [Google Scholar] [CrossRef]
  14. Vermeersch, B.; Bury, E.; Xiang, Y.; Schuddinck, P.; Bhuwalka, K.K.; Hellings, G.; Ryckaert, J. Self-heating in iN8–iN2 CMOS logic cells: Thermal impact of architecture (FinFET, nanosheet, Forksheet and CFET) and scaling boosters. In Proceedings of the 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Honolulu, HI, USA, 13–17 June 2022; pp. 371–372. [Google Scholar] [CrossRef]
  15. Saleh, M.; Bayoumi, A.M.; Abdelhamid, H. Impact of bottom dielectric isolation of Si-stacked nanosheet transistor on stress and self-heating at 3-Nm node and beyond. IEEE Trans. Electron Devices 2023, 70, 5535–5542. [Google Scholar] [CrossRef]
  16. Sentaurus Process User Guide, Version S-2021.06-SP1; Synopsys Inc.: Mountain View, CA, USA, 2021.
  17. Sentaurus Device User Guide, Version S-2021.06-SP1; Synopsys Inc.: Mountain View, CA, USA, 2021.
  18. Stratton, R. Diffusion of hot and cold electrons in semiconductor barriers. Phys. Rev. 1962, 126, 2002–2014. [Google Scholar] [CrossRef]
  19. Holland, M.G. Analysis of lattice thermal conductivity. Phys. Rev. 1963, 132, 2461–2471. [Google Scholar] [CrossRef]
  20. Asheghi, M.; Kurabayashi, K.; Kasnavi, R.; Goodson, K.E. Thermal conduction in doped single-crystal silicon films. J. Appl. Phys. 2002, 91, 5079–5088. [Google Scholar] [CrossRef]
  21. Mikoshiba, N. Model for the metal-nonmetal transition in impure semiconductors. Rev. Mod. Phys. 1968, 40, 833–838. [Google Scholar] [CrossRef]
  22. Fortier, D.; Suzuki, K. Effect of p donors on thermal phonon scattering in SI. J. Phys. 1976, 37, 143–147. [Google Scholar] [CrossRef]
  23. Sondheimer, E.H. The mean free path of electrons in metals. Adv. Phys. 2001, 50, 499–537. [Google Scholar] [CrossRef]
  24. Wu, S.-Y.; Lin, C.Y.; Chiang, M.C.; Liaw, J.J.; Cheng, J.Y.; Yang, S.H.; Liang, M.; Miyashita, T.; Tsai, C.H.; Hsu, B.C.; et al. A 16nm FinFET CMOS Technology for Mobile SoC and computing applications. In Proceedings of the 2013 IEEE International Electron Devices Meeting, Washington, DC, USA, 9–11 December 2013; pp. 9.1.1–9.1.4. [Google Scholar] [CrossRef]
  25. Leistiko, O.; Grove, A.S.; Sah, C.T. Electron and hole mobilities in inversion layers on thermally oxidized silicon surfaces. IEEE Trans. Electron Devices 1965, 12, 248–254. [Google Scholar] [CrossRef]
  26. Myeong, I.; Son, D.; Kim, H.; Shin, H. Analysis of self heating effect in DC/AC mode in multi-channel GAA-field effect transistor. IEEE Trans. Electron Devices 2019, 66, 4631–4637. [Google Scholar] [CrossRef]
Figure 1. (a) Three-dimensional structure and cross-sections of Conv-NSFETs, and (b) structural comparison of the Conv, TIS, and BOX schemes.
Figure 1. (a) Three-dimensional structure and cross-sections of Conv-NSFETs, and (b) structural comparison of the Conv, TIS, and BOX schemes.
Nanomaterials 14 01006 g001
Figure 2. Transfer characteristics and Ion for various TSD with and without SHE in (a) Conv, (b) TIS, and BOX schemes (the transfer curves with and without SHE almost overlaps).
Figure 2. Transfer characteristics and Ion for various TSD with and without SHE in (a) Conv, (b) TIS, and BOX schemes (the transfer curves with and without SHE almost overlaps).
Nanomaterials 14 01006 g002
Figure 3. (a) Transfer characteristics of NSFETs with and without SHE, and (b) Tmax in the Conv scheme. (c) Carrier density and (d) mobility with or without SHE in the Conv scheme.
Figure 3. (a) Transfer characteristics of NSFETs with and without SHE, and (b) Tmax in the Conv scheme. (c) Carrier density and (d) mobility with or without SHE in the Conv scheme.
Nanomaterials 14 01006 g003
Figure 4. Lattice temperature profiles at on-state in the Conv, TIS, and BOX schemes. The marked points indicate Tmax.
Figure 4. Lattice temperature profiles at on-state in the Conv, TIS, and BOX schemes. The marked points indicate Tmax.
Nanomaterials 14 01006 g004
Figure 5. (a) Tmax_on compared to different TSD in Conv, TIS, and BOX schemes. (b,c) Vco comparison in TIS and BOX schemes for n/pFETs.
Figure 5. (a) Tmax_on compared to different TSD in Conv, TIS, and BOX schemes. (b,c) Vco comparison in TIS and BOX schemes for n/pFETs.
Nanomaterials 14 01006 g005
Figure 6. Cgg-VGS curves for different TSD with and without SHE in (a) Conv, (b) TIS, and BOX schemes (inset: Cgg at high and VGS in the TIS scheme).
Figure 6. Cgg-VGS curves for different TSD with and without SHE in (a) Conv, (b) TIS, and BOX schemes (inset: Cgg at high and VGS in the TIS scheme).
Nanomaterials 14 01006 g006
Figure 7. (a) Structural comparison of the TIS-full scheme and the TIS scheme; (b) Cgg-VGS curves for different TSD with and without SHE in the TIS-full scheme.
Figure 7. (a) Structural comparison of the TIS-full scheme and the TIS scheme; (b) Cgg-VGS curves for different TSD with and without SHE in the TIS-full scheme.
Nanomaterials 14 01006 g007
Figure 8. Comparison of Ion and Cgg_on in the Conv, TIS, TIS-full, and BOX schemes.
Figure 8. Comparison of Ion and Cgg_on in the Conv, TIS, TIS-full, and BOX schemes.
Nanomaterials 14 01006 g008
Figure 9. Figure-of-merit (FoM) comparison for Conv, TIS, TIS-full, and BOX schemes.
Figure 9. Figure-of-merit (FoM) comparison for Conv, TIS, TIS-full, and BOX schemes.
Nanomaterials 14 01006 g009
Figure 10. Schematic representation of the 3D mixed-mode simulation for a three-stage ring oscillator.
Figure 10. Schematic representation of the 3D mixed-mode simulation for a three-stage ring oscillator.
Nanomaterials 14 01006 g010
Figure 11. Transient voltage waveforms in a 3-stage RO consisting of Conv-NSFETs with TSD = 0.
Figure 11. Transient voltage waveforms in a 3-stage RO consisting of Conv-NSFETs with TSD = 0.
Nanomaterials 14 01006 g011
Figure 12. Transient Tmax response of the devices in each stage of the 3-stage RO.
Figure 12. Transient Tmax response of the devices in each stage of the 3-stage RO.
Nanomaterials 14 01006 g012
Figure 13. fosc and Tmax_10cy of the 3-stage RO in the Conv, TIS, TIS-full, and BOX schemes under the condition of iso-leakage.
Figure 13. fosc and Tmax_10cy of the 3-stage RO in the Conv, TIS, TIS-full, and BOX schemes under the condition of iso-leakage.
Nanomaterials 14 01006 g013
Figure 14. (a) fosc and (b) Tmax_10cy as a function of CL of the 3-stage RO in TIS, TIS-full, and BOX schemes (fosc is almost the same for all schemes, and they almost overlap).
Figure 14. (a) fosc and (b) Tmax_10cy as a function of CL of the 3-stage RO in TIS, TIS-full, and BOX schemes (fosc is almost the same for all schemes, and they almost overlap).
Nanomaterials 14 01006 g014
Table 1. Geometrical and device parameters for sub-3 nm node NSFETs.
Table 1. Geometrical and device parameters for sub-3 nm node NSFETs.
ParametersValuesParametersValues
Contact poly pitch (CPP)42 nmOperating voltage (VDD)0.7 V
Sheet pitch (SP)60 nmBOX thickness (TBOX)10 nm
Gate length (Lg)12 nmContact resistivity10−9 Ω·cm2
Spacing thickness (Tsp)10 nmM0 resistivity5 × 10−5 Ω·cm
Inner-spacer length (Lis)5 nmChannel doping1 × 1015 cm−3
NS width (WNS)25 nmS/D doping5 × 1020 cm−3
NS thickness (TNS)5 nmPTS doping
(Conv/TIS, BOX)
2 × 1018 cm−3
HfO2 thickness (THK)1.1 nm1 × 1015 cm−3
Interfacial layer
thickness (TIL)
0.6 nmOver-etched
S/D depth (TSD)
0–6 nm
Table 2. Thermal conductivities of the individual regions at 300 K.
Table 2. Thermal conductivities of the individual regions at 300 K.
Regionκ [W·m−1·K−1]Regionκ [W·m−1·K−1]
Si substrate170HfO22.3
NS channel (n/p)10.0/9.5Low-k, BOX0.7
PTS_Conv, TIS (n/p)36.5/36.5STI1.4
PTS_BOX (n/p)37.0/37.0WFM19.2
SiC0.02/SiGe0.5 S/D30.0/13.5Silicide25
IL1.4M0150
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Jeong, J.; Lee, S.; Baek, R.-H. Accurate Evaluation of Electro-Thermal Performance in Silicon Nanosheet Field-Effect Transistors with Schemes for Controlling Parasitic Bottom Transistors. Nanomaterials 2024, 14, 1006. https://doi.org/10.3390/nano14121006

AMA Style

Jeong J, Lee S, Baek R-H. Accurate Evaluation of Electro-Thermal Performance in Silicon Nanosheet Field-Effect Transistors with Schemes for Controlling Parasitic Bottom Transistors. Nanomaterials. 2024; 14(12):1006. https://doi.org/10.3390/nano14121006

Chicago/Turabian Style

Jeong, Jinsu, Sanguk Lee, and Rock-Hyun Baek. 2024. "Accurate Evaluation of Electro-Thermal Performance in Silicon Nanosheet Field-Effect Transistors with Schemes for Controlling Parasitic Bottom Transistors" Nanomaterials 14, no. 12: 1006. https://doi.org/10.3390/nano14121006

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop