Next Article in Journal
Enhanced Anti-Corrosion Performances of Epoxy Resin Using the Addition of Sodium Dodecylbenzene Sulfonate-Modified Graphene
Next Article in Special Issue
Analytical Model of the Process of Thermal Barrier Coating by the MO CVD Method
Previous Article in Journal
Synthesis and Characterization of Polyaniline-Based Polymer Nanocomposites as Anti-Corrosion Coatings
Previous Article in Special Issue
MOCVD of Noble Metal Film Materials for Medical Implants: Microstructure and Biocompatibility of Ir and Au/Ir Coatings on TiNi
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Effect of Ge Concentration on the On-Current Boosting of Logic P-Type MOSFET with Sigma-Shaped Source/Drain

1
Department of Material Science and Engineering, Yonsei University, Seoul 03722, Korea
2
R&D Division, SK Hynix Inc., Icheon-si 17336, Korea
*
Author to whom correspondence should be addressed.
Coatings 2021, 11(6), 654; https://doi.org/10.3390/coatings11060654
Submission received: 7 May 2021 / Revised: 23 May 2021 / Accepted: 27 May 2021 / Published: 29 May 2021

Abstract

:
Silicon german ium (SiGe) has attracted significant attention for applications in the source/drain (S/D) regions of p-type metal-oxide-semiconductor field-effect transistors (p-MOSFETs). However, in SiGe, as the Ge concentration increases, high-density defects are generated, which limit its applications. Therefore, several techniques have been developed to minimize defects; however, these techniques require relatively thick epitaxial layers and are not suitable for gate-all-around FETs. This study examined the effect of Ge concentration on the embedded SiGe source/drain region of a logic p-MOSFET. The strain was calculated through nano-beam diffraction and predictions through a simulation were compared to understand the effects of stress relaxation on the change in strain applied to the Si channel. When the device performance was evaluated, the drain saturation current was approximately 710 µA/µm at an off current of 100 nA/µm with a drain voltage of 1 V, indicating that the current was enhanced by 58% when the Ge concentration was optimized.

1. Introduction

In recent years, silicon germanium (SiGe) has been widely used in the source/drain (S/D) regions of p-type metal-oxide-semiconductor field-effect transistors (p-MOSFETs) to induce a uniaxial strain in the channel region. When a 65-nm-transistor-node is used for three-dimensional structures, such as a fin field-effect transistors (FETs) or gate-all-around FETs, the lateral dimensions, including the junction depth, of these regions becomes more significant. Thus, embedded SiGe technology, namely e-SiGe, was adopted to increase the S/D volume. The SiGe epitaxy process has been used in S/D to induce a compressive strain in its channel, thereby increasing hole mobility [1,2,3,4]. Since Si and Ge have the same crystallographic structure, both materials can be easily alloyed [5]; the lattice constant of SiGe increases as the Ge concentration increases. Thus, to increase the transistor current, several studies have aimed to induce compressive strain in the channel by increasing the Ge concentration [6,7]. SiGe is useful for strain engineering and it also effectively reduces the contact resistance in the S/D regions by reducing the Schottky barrier heights [8,9,10]. As the transistor size decreases, achieving a low contact resistivity becomes crucial. A contact resistivity of <1 × 10−9 Ω·cm2 is required in a <7 nm node [11].
E-SiGe is an effective method that can increase the uniaxial compressive strain applied to the channel, and a selectively epitaxial growth (SEG) of SiGe in the recessed S/D region is essential for this. SiGe is particularly suitable for the SEG process because it can be selectively deposited on the exposed S/D regions and etched at high selectivity for Si and SiO2 [12,13,14]. When performing SEG of SiGe using a chemical vapor deposition (CVD), it is also necessary to consider how many chips of the patterned wafer are adjacent to each other [15]. The strain applied to the channel is affected by the shape of the sigma cavity and concentrations of Ge and B [16,17,18,19]. The strain is compensated when the concentration of B increases to realize a low contact resistance of S/D. Therefore, increasing the Ge concentration remains crucial in a gate-all-around FET.
However, as the Ge concentration increases, high-density defects are generated in the grown layers because the difference in the lattice parameter between the Si substrate and the SiGe layer increases [12,13]. Therefore, several techniques have been developed to minimize defects, such as epitaxial lateral overgrowth [12] and thermal cyclic annealing [13]. However, these techniques require relatively thick epitaxial layers and are not suitable for gate-all-around FETs.
Herein, we studied the current boosting and defect generation in a nano-sized sigma-shaped SiGe pattern, which was designed to implement the S/D shape in a gate-all-around FET. The strain behavior in the Si channel and the formation of misfit dislocations in SiGe were investigated for various values of concentrations of Ge (CGe). The strain inside the channel was analyzed using nano-beam diffraction (NBD) and simulation. Furthermore, the change in stress owing to an e-SiGe and its effect on the electrical performance of a 28-nm-p-MOSFET were also evaluated. In this study, intrinsic SiGe was grown in one sigma cavity structure, but B-doped SiGe will be grown in various sigma cavities in the future to study changes in device characteristics.

2. Materials and Methods

The CGe effect in current boosting by SiGe was examined using a p-MOSFET device with a planar gate structure. A multilayer SiGe, comprising layer 1 (L1)/layer 2 (L2)/layer 3 (L3), was formed using the process flow shown in Figure 1a after a sigma cavity was created to implement the S/D shape in a gate-all-around FET. The CGe distribution in SiGe was confirmed using a transmission electron microscopy-energy dispersive X-ray (TEM-EDX, X-MAX 80 TLE detector, Oxford , Abingdon, England) and atomic probe tomography (APT, LEAP5000XR, CAMECA, Gennevilliers, France). The misfit dislocations in the sigma-shaped cavity of the e-SiGe S/D region were analyzed using high-resolution TEM (HR-TEM, JEM2100F, JEOL, Tokyo, Japan). The strain induced in the channel was calculated using a fast Fourier transform image obtained through the nano-beam diffraction (NBD) method and simulated using ANSYS software (version 19, ANSYS, Workbench, PA, USA). The electrical properties of the p-MOSFETs were characterized using a semiconductor parameter analyzer (Agilent, B1500A, CA, USA).

3. Results and Discussion

Figure 1 shows the formation of sigma cavity in the transistor for inducing a strain in the Si channel. After the Si was anisotropically patterned through dry etching, as shown in Figure 1b, a sigma-shaped cavity, as shown in Figure 1c, was formed at an angle of 54° through the anisotropic etching of the Si (111) plane with tetramethyl ammonium hydroxide (TMAH) [20,21], which directly affects the electrical performance, especially the on-current [22]. To understand the intrinsic CGe effect of SiGe, a sigma cavity was created through minimization in the range of 3-sigma (0.67%), which could minimize the variation in the sigma cavity shape. L1, L2, and L3 were grown on a sigma-shaped cavity and they played different roles, as shown in Figure 1d. L1 was a buffer layer with a relatively low CGe of 25 at.%, which improved the poor coverage of the sigma-shaped cavity; this originated from the low growth rate of the Si (100) plane, caused by the narrow width of the bottom layer. In addition, L1 suppressed the strain relaxation due to the lattice mismatch between the Si substrate and L2 and the punch between the source and the drain. L2 possessed a relatively high CGe of 50 at.%–60 at.% and was epitaxially grown as a main stressor. This layer induced a strong compressive strain in the channel because of the lattice mismatch originating from its high CGe, which, in turn, boosted the channel current. Finally, the L3 layer was made of pure Si fabricated using the epitaxy method, which capped the L2 layer. The L3 layer acted as a sacrificial layer to supply the necessary Si for forming the silicide-reduced contact resistance in the S/D region. To supply hole carriers in the S/D region, boron was incorporated in situ at doping concentrations of less than 1 × 1019 and 2 × 1020 atoms/cm3 in L1 and L2, respectively. After the native oxide was removed through in situ dry cleaning, the L1, L2, and L3 layers were epitaxially grown by remote plasma chemical vapor deposition without a vacuum break, as shown in Figure 1d. The SiGe or Si epitaxial layers of L1, L2, and L3 were grown using SiCl2H2 (dichlorosilane, DCS) and GeH4 as precursors, and the selectivity was determined by co-flowing HCl gas [23,24,25]. L1, L2, and L3 were grown at 660, 620, and 750 °C, respectively. The fabricated MOSFETs showed uniformly patterned sigma-shaped cavities in the S/D region with critical dimensions of approximately 10, 30, and 30 nm for the top, center, and depth of the cavity, respectively, as shown in Figure 1e. Both L1 and L2 were uniformly grown at different growth rates induced by the crystal plane of the Si substrate [26].
Figure 2 shows the vertical and longitudinal distributions of CGe in SiGe, as measured using TEM-EDX. The x-axis in Figure 2a,b correspond to the red and blue dots, respectively, in the inset of Figure 2a. Ge was not detected at vertical positions 1 and 2, indicating that they corresponded to the Si substrate. At vertical position 3, CGe was approximately 22.6 at.%–28.5 at.%, which increased to approximately 30.2 at.%–36.6 at.% at vertical position 5. It fluctuated between 51 at.% and 61 at.% at vertical positions 6–9, and then dropped steeply to 0 at.% at vertical positions 11 and 12. This indicates that L1, L2, and L3 were formed cleanly without any intermixing between L1 and L3. In the longitudinal direction, CGe was distributed across the five regions. Regions I and V had CGe of 0 at.%, which indicates that these corresponded to the Si substrate. In regions II and IV, CGe was distributed between 20 at.% and 26 at.%, and in region III, CGe was 46.9 at.%, 54.6 at.%, and 58.7 at.%. To precisely determine CGe, APT was used to analyze the sigma-shaped cavity, which included L2 with CGe = 55 at.%. This region is marked by white dots, as shown in the inset of Figure 2a. Although the data are not shown, CGe was 57.22 at.%, which is similar to the results obtained by TEM-EDX. The change in strain due to variations in CGe in L2 was evaluated according to the misfit dislocations and NBD analysis.
Figure 3 shows the simulated strain map of L2 in the p-MOSFETs for various CGe. The strain is expressed as a normalized value because the material properties set for the simulation did not precisely coincide with the actual films. The compressive and tensile stresses are represented by negative and positive values, respectively. A negative strain was generated below the tip of the sigma-shaped cavity and applied to the Si channel. In addition, the regimen of generated negative strain widened with increasing CGe in L2. Finally, the strain in the channel shifted to a negative value with an increase in the CGe. This implies that the negative strain increased the compressive stress in the Si channel. Thus, increasing the CGe should boost the current by inducing compressive stress in the Si channel.
To verify the change in actual strain of the Si channel, the strain was measured at five points through NBD analysis, as marked by red circles in the inset of Figure 4a. The Si channel with the e-SiGe S/D region, including L2 at CGe = 50 at.%, displayed a strain of approximately −0.44% at the center (point 3). This value remained almost constant regardless of the measurement position. When the CGe was increased to 55 at.%, the strain shifted to approximately −1.02%. This indicates that the compressive stress induced by the extended S/D was effectively applied to the Si channel, and the stress increased with an increased CGe in L2. The strain in the Si channel at L2 for CGe = 55 at.% showed a parabolic distribution with the highest value at position 3. This value can be attributed to the symmetric placement of the S/D [27]. However, when the CGe in L2 was increased to 60 at.%, the strain became less negative, i.e., the applied stress decreased. Thus, the number of misfit dislocations was counted to verify the change in stress relaxation with increasing CGe in L2, as shown in Figure 4b. The number of misfit dislocations generated for 100 sigma-shaped cavities was evaluated and statistically organized. L2 with CGe = 50 at.%, 55 at.%, and 60 at.% showed 2.10, 6.15, and 21.05 misfit dislocations with standard deviations of 1.25, 1.90, and 5.27, respectively. This indicates that an increase in CGe is accompanied by local stress relaxation. In addition, the misfit dislocations were not generated linearly with increasing CGe. The steep increase in the number of misfit dislocations between CGe = 55 at.% and 60 at.% indicates a critical CGe of approximately 55 at.%–60 at.% for relaxing the stress induced between SiGe and Si. The abovementioned results reveal that the strain induced in the Si channel can be maximized by optimizing the CGe with respect to stress generation and relaxation.
To determine the effect of the changes in stress on the electrical performance of the p-MOSFET, electrical properties, such as the off current (Ioff) and drain saturation current (Idsat), were evaluated. The p-MOSFET with L2 (CGe = 25 at.%) was used as a reference for comparison with the p-MOSFETs having the e-SiGe S/D regions. Figure 5 shows that Idsat = 450 µA/µm was obtained at Ioff = 100 µA/µm with a drain voltage (VDD) of 1 V for the reference p-MOSFET. For L2 layers with CGe = 50 at.% and 55 at.%, Idsat values of approximately 710 µA/µm were obtained; this indicates an improvement of approximately 58%. However, Idsat decreased to 620 µA/µm when the CGe in L2 was increased to 60 at.%. This tendency coincides with the change in strain with increasing CGe in L2, as shown in Figure 4a. This shows strong evidence that the local compressive strain should be maximized by increasing the CGe in L2 to suppress stress relaxation and boost the current in the channel.

4. Conclusions

In this work, SiGe was grown by the epitaxy method with various CGes in L2 through remote plasma CVD. The effect of varying CGe for L2 in the sigma-shaped cavity for the S/D region of a 28-nm-p-MOSFET was quantitatively investigated using TEM-EDX and APT. The different layers of e-SiGe were clearly defined, and the L2 layer possessed a CGe of 50 at.%–60 at.%. The simulated strain map showed that the strain near the tip of the sigma-shaped cavity was locally focused and increased with the CGe in L2. In contrast, the measured strain in the sigma-shaped cavity revealed that the strain decreased when CGe = 60 at.% in L2 owing to local stress relaxation caused by the formation of misfit dislocations. The change in Ioff caused by a change in Idsat strongly coincided with the change in local strain with increasing CGe in L2. This clearly verifies that the channel current can be boosted by maximizing the CGe in SiGe to suppress local stress relaxation, thus resulting in the formation of misfit dislocations.

Author Contributions

Conceptualization, E.K.; methodology, H.S. and S.P.; software, E.K.; formal analysis, J.L.; writing—original draft preparation, E.K.; writing—review and editing, S.W.R.; supervision, D.-H.K.; funding acquisition, D.-H.K. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the Technology Innovation Program (20010598) funded by the Ministry of Trade, Industry & Energy (MOTIE) and Future Semiconductor Device Technology Development Program (10067739) funded by the MOTIE and Korea Semiconductor Research Consortium (KSRC).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

All the original data generated in this research are available.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Fischetti, M.V.; Laux, S.E. Band Structure, Deformation Potentials, and Carrier Mobility in Strained Si, Ge, and SiGe Alloys. J. Appl. Phys. 1996, 80, 2234–2252. [Google Scholar] [CrossRef]
  2. Thompson, S.E.; Armstrong, M.; Auth, C.; Alavi, M.; Buehler, M.; Chau, R.; Cea, S.; Ghani, T.; Glass, G.; Hoffman, T.; et al. A 90-Nm Logic Technology Featuring Strained-Silicon. IEEE Trans. Electron Dev. 2004, 51, 1790–1797. [Google Scholar] [CrossRef]
  3. Yeo, Y.-C. Enhancing CMOS Transistor Performance Using Lattice-Mismatched Materials in Source/Drain Regions. Semicond. Sci. Technol. 2007, 22, S177–S182. [Google Scholar] [CrossRef]
  4. Chu, M.; Sun, Y.; Aghoram, U.; Thompson, S.E. Strain: A Solution for Higher Carrier Mobility in Nanoscale MOSFETs. Annu. Rev. Mater. Res. 2009, 39, 203–229. [Google Scholar] [CrossRef]
  5. Bedell, S.W.; Khakifirooz, A.; Sadana, D.K. Strain Scaling for CMOS. Mrs Bull. 2014, 39, 131–137. [Google Scholar]
  6. Chidambaram, P.R.; Smith, B.A.; Hall, L.H.; Bu, H.; Chakravarthi, S.; Kim, Y.; Samoilov, A.V.; Kim, A.T.; Jones, P.J.; Irwin, R.B.; et al. 35% drive current improvement from recessed-SiGe drain extensions on 37 nm gate length PMOS. In Proceedings of the Digest of Technical Papers Symposium on VLSI Technology, Honolulu, HI, USA, 15–17 June 2004; p. 48. [Google Scholar]
  7. Ghani, T.; Armstrong, M.; Auth, C.; Bost, M.; Charvat, P.; Glass, G.; Hoffmann, T.; Johnson, K.; Kenyon, C.; Klaus, J.; et al. A 90 nm high volume manufacturing logic technology featuring novel 45 nm gate length strained silicon CMOS transistors. In Proceedings of the IEEE International Electron Devices Meeting, Washington, DC, USA, 8–10 December 2003; pp. 978–980. [Google Scholar]
  8. Sarangapani, P.; Weber, C.; Chang, J.; Cea, S.; Povolotskyi, M.; Klimeck, G.; Kubis, T. Atomistic Tight-Binding Study of Contact Resistivity in Si/SiGe PMOS Schottky Contacts. IEEE Trans. Nanotechnol. 2018, 17, 968–973. [Google Scholar] [CrossRef]
  9. Zhang, J.; Yu, H.; Schaekers, M.; Horiguchi, N.; Wang, L.-L.; Jiang, Y.-L. Observation of contact resistivity independence from schottky barrier height on heavily doped p-type SiGe. In Proceedings of the IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Hangzhou, China, 25–28 October 2016. [Google Scholar]
  10. Sarangapani, P.; Weber, C.; Chang, J.; Cea, S.; Golizadeh-Mojarad, R.; Povolotskyi, M.; Klimeck, G.; Kubis, T. Assessment of Si/SiGe PMOS schottky contacts through atomistic tight binding simulations: Can we achieve the 10−9 Ω·cm target? In Proceedings of the 17th International Conference Nanotechnol, Pittsburgh, PA, USA, 25–28 July 2017. [Google Scholar]
  11. Ni, C.-N.; Rao, K.V.; Khaja, F.; Sharma, S.; Tang, S.; Chen, J.J.; Hollar, K.E.; Breil, N.; Li, X.; Jin, M.; et al. Ultra-low NMOS contact resistivity using a novel plasma-based DSS implant and laser anneal for post 7 nm nodes. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016. [Google Scholar]
  12. Kazi, Z.I.; Thilakan, P.; Egawa, T.; Umeno, M.; Jimbo, T. Realization of GaAs/AlGaAs Lasers on Si Substrates Using Epitaxial Lateral Overgrowth by Metalorganic Chemical Vapor Deposition. Jpn. J. Appl. Phys. 2001, 40, 4903–4906. [Google Scholar] [CrossRef]
  13. Hayafuji, N.; Miyashita, M.; Nishimura, T.; Kadoiwa, K.; Kumabe, H.; Murotani, T. Effect of Employing Positions of Thermal Cyclic Annealing and Strained-Layer Superlattice on Defect Reduction in GaAs-On-Si. Jpn. J. Appl. Phys. 1990, 29, 2371–2375. [Google Scholar] [CrossRef]
  14. Li, J.; Wang, W.; Li, Y.; Zhou, N.; Wang, G.; Kong, Z.; Fu, J.; Yin, X.; Li, C.; Wang, X.; et al. Study of Selective Isotropic Etching Si1−xGex in Process of Nanowire Transistors. J. Mater. Sci. Mater. Electron. 2020, 31, 134. [Google Scholar] [CrossRef]
  15. Kolahdouz, M.; Maresca, L.; Ostling, M.; Riley, D.; Wise, R.; Radamson, H.H. New Method to Calibrate the Pattern Dependency of Selective Epitaxy of SiGe Layers. Solid State Electron. 2009, 53, 858–861. [Google Scholar] [CrossRef]
  16. Schmidt, M.; Minamisawa, R.A.; Richter, S.; Luptak, R.; Hartmann, J.M.; Buca, D.; Zhao, Q.T.; Mantl, S. Impact of Strain and Ge Concentration on the Performance of Planar SiGe Band-to-Band-Tunneling Transistors. Ultim. Integr. Silicon 2011, 71, 42–47. [Google Scholar]
  17. Qin, C.; Yin, H.; Wang, G.; Hong, P.; Ma, X.; Cui, H.; Lu, Y.; Meng, L.; Yin, H.; Zhong, H.; et al. Study of Sigma-Shaped Source/Drain Recesses For Embedded-SiGe pMOSFETs. Microelectron. Eng. 2017, 181, 22–28. [Google Scholar] [CrossRef]
  18. Wang, G.; Luo, J.; Qin, C.; Liang, R.; Xu, Y.; Liu, J.; Li, J.; Yin, H.; Yan, J.; Zhu, H.; et al. Integration of Highly Strained SiGe in Source and Drain with HK and MG for 22 nm Bulk PMOS Transistors. Nanoscale Res. Lett. 2017, 12, 123. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  19. Hållstedt, J.; Kolahdouz, M.; Ghandi, R.; Radamson, H.H.; Wise, R. Pattern Dependency in Selective Epitaxy of B-doped SiGe Layers for Advanced Metal Oxide Semiconductor Field Effect Transistors. J. Appl. Phys. 2008, 103, 054907. [Google Scholar] [CrossRef]
  20. Tokoro, K.; Uchikawa, D.; Shikida, M.; Sato, K. Anisotropic etching properties of silicon in KOH and TMAH solutions. In Proceedings of the MHA′ 98 Proceedings of the 1998 International Symposium on Micromechatronics and Human Science—Creation of New Industry, Nagoya, Japan, 25–28 November 1998; p. 65. [Google Scholar]
  21. Cheng, D.; Gosálvez, M.A.; Hori, T.; Sato, K.; Shikida, M. Improvement in Smoothness of Anisotropically Etched Silicon Surfaces: Effects of Surfactant and TMAH Concentrations. Sens. Actuators A 2006, 125, 415–421. [Google Scholar] [CrossRef]
  22. Lo, H.-C.; Peng, J.; Reis, E.; Zhu, B.; Ma, W.; Mun, S.Y.; Shintri, S.; Bazizi, E.M.; Gaire, C.; Qi, Y.; et al. A Novel Approach to Control Source/Drain Cavity Profile for Device Performance Improvement. IEEE Trans. Electron Devices 2018, 65, 3640–3645. [Google Scholar] [CrossRef]
  23. Loo, R.; Caymax, M.; Meunier-Beillard, P.; Peytier, I.; Holsteyns, F.; Kubicek, S.; Verheyen, P.; Lindsay, R.; Richard, O. A New Technique to Fabricate Ultra-Shallow-Junctions, Combining in Situ Vapour HCl Etching and in Situ Doped Epitaxial SiGe Re-Growth. Appl. Surf. Sci. 2004, 224, 63–67. [Google Scholar] [CrossRef]
  24. Kolahdouz, M.; Maresca, L.; Ghandi, R.; Khatibi, A.; Radamson, H.H. Kinetic Model of SiGe Selective Epitaxial Growth Using RPCVD Technique. J. Electrochem. Soc. 2011, 158, H457. [Google Scholar] [CrossRef]
  25. Radamson, H.H.; Kolahdouz, M. Selective Epitaxy Growth of Si1−xGex Layers for MOSFETs and FinFETs. J. Mater. Sci. Mater. Electron. 2015, 26, 4584. [Google Scholar] [CrossRef]
  26. Rosseel, E.; Profijt, H.B.; Hikavyy, A.Y.; Tolle, J.; Kubicek, S.; Mannaert, G.; L’abbe, C.; Wostyn, K.; Horiguchi, N.; Clarysse, T.; et al. Characterization of Epitaxial Si:C:P and Si:P Layers for Source/Drain Formation in Advanced Bulk FinFETs. ECS Trans. 2014, 64, 977–987. [Google Scholar] [CrossRef]
  27. Maeda, S.; Ko, Y.; Jeong, J.; Fukutome, H.; Kim, M.; Kim, S.; Choi, J.; Shin, D.; Oh, Y.; Lim, W.; et al. 3 Dimensional scaling extensibility on epitaxial source drain strain technology toward fin fet and beyond. In Proceedings of the 2013 Symposium on VLSI Technology, Kyoto, Japan, 11–13 June 2013. [Google Scholar]
Figure 1. (a) The process flow and schematic structure at each step. (b) Anisotropic Si etch through dry etching using HBr, Cl2, He. (c) The sigma-shaped cavity was formed through wet etching. (d) Multilayer SiGe epitaxial growth. (e) Cross-sectional TEM image after SiGe growth.
Figure 1. (a) The process flow and schematic structure at each step. (b) Anisotropic Si etch through dry etching using HBr, Cl2, He. (c) The sigma-shaped cavity was formed through wet etching. (d) Multilayer SiGe epitaxial growth. (e) Cross-sectional TEM image after SiGe growth.
Coatings 11 00654 g001
Figure 2. CGe distribution for (a) vertical and (b) longitudinal direction of CGe = 50, 55, and 60 at.% in SiGe of L2.
Figure 2. CGe distribution for (a) vertical and (b) longitudinal direction of CGe = 50, 55, and 60 at.% in SiGe of L2.
Coatings 11 00654 g002
Figure 3. Simulated strain maps for (a) CGe = 50 at.%, (b) 55 at.% and (c) 60 at.% in SiGe of L2.
Figure 3. Simulated strain maps for (a) CGe = 50 at.%, (b) 55 at.% and (c) 60 at.% in SiGe of L2.
Coatings 11 00654 g003
Figure 4. (a) Strain distributions measured for various CGe in SiGe of L2 by NBD analysis and (b) the number of misfit dislocation included in L2 (counted for 100 sigma cavities and statistically calculated.).
Figure 4. (a) Strain distributions measured for various CGe in SiGe of L2 by NBD analysis and (b) the number of misfit dislocation included in L2 (counted for 100 sigma cavities and statistically calculated.).
Coatings 11 00654 g004
Figure 5. Ioff versus Idsat for 28-nm-logic p-MOSFET with various CGe of L2 in SiGe of embedded S/D.
Figure 5. Ioff versus Idsat for 28-nm-logic p-MOSFET with various CGe of L2 in SiGe of embedded S/D.
Coatings 11 00654 g005
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Ko, E.; Lee, J.; Ryu, S.-W.; Shin, H.; Park, S.; Ko, D.-H. Effect of Ge Concentration on the On-Current Boosting of Logic P-Type MOSFET with Sigma-Shaped Source/Drain. Coatings 2021, 11, 654. https://doi.org/10.3390/coatings11060654

AMA Style

Ko E, Lee J, Ryu S-W, Shin H, Park S, Ko D-H. Effect of Ge Concentration on the On-Current Boosting of Logic P-Type MOSFET with Sigma-Shaped Source/Drain. Coatings. 2021; 11(6):654. https://doi.org/10.3390/coatings11060654

Chicago/Turabian Style

Ko, Eunjung, Juhee Lee, Seung-Wook Ryu, Hyunsu Shin, Seran Park, and Dae-Hong Ko. 2021. "Effect of Ge Concentration on the On-Current Boosting of Logic P-Type MOSFET with Sigma-Shaped Source/Drain" Coatings 11, no. 6: 654. https://doi.org/10.3390/coatings11060654

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop