Next Article in Journal
ULPING-Based Titanium Oxide as a New Cathode Material for Zn-Ion Batteries
Previous Article in Journal
Synergistic Effect of Elliptic Textures and H-DLC Coatings for Enhancing the Tribological Performance of CuAl10Fe5Ni5 Valve Plate Surfaces
 
 
Article
Peer-Review Record

Integration of Self-Assembled Monolayers for Cobalt/Porous Low-k Interconnects

Coatings 2024, 14(9), 1162; https://doi.org/10.3390/coatings14091162
by Yi-Lung Cheng 1,*, Joe Kao 1, Hao-Wei Zhang 1, Bo-Jie Liao 1, Giin-Shan Chen 2 and Jau-Shiung Fang 3
Reviewer 1: Anonymous
Reviewer 3: Anonymous
Coatings 2024, 14(9), 1162; https://doi.org/10.3390/coatings14091162
Submission received: 5 June 2024 / Revised: 29 August 2024 / Accepted: 30 August 2024 / Published: 9 September 2024

Round 1

Reviewer 1 Report

Comments and Suggestions for Authors

This article is devoted to the study of self-organizing low-dimensional layers containing cobalt.

The authors explain the relevance of this article by the fact that during the transition to nanoelectronic scales, the resistance of copper, mainly used for the production of electronic components, increases, which requires the search for new materials, one of which should be cobalt. Cobalt has a higher melting point, which will help avoid the emission of electrons. At the same time, the author’s model of self-assembling low-dimensional layers acts as an effective replacement for traditional materials. The authors have created a new material based on a silicon matrix containing pores with cobalt in a given pore size range.

The experimental studies carried out in the work have confirmed the effectiveness of using a new material for the needs of nanoelectronics

There are the following comments on the article:

1. The introduction is written in highly specialized language and does not allow to fully assessing the relevance and importance of ongoing research for world science. It is recommended to rewrite it, clearly highlighting the relevance, problems, goals and objectives of the study

2. The decoding of the values "C-V" is given only on line 91, although this designation is found earlier on line 62. It is better to provide a transcript on line 62

3. Literature [1, 2, 7, 12, 13, 17, 20, 28] if possible, it should be replaced with newer sources.

4. In a research article, 45% originality is a low percentage. It is recommended to revise the article to increase its originality.

Comments on the Quality of English Language

The English language as a whole is at an acceptable level, it is only necessary to check the article for typos

Author Response

  1. The introduction is written in highly specialized language and does not allow to fully assessing the relevance and importance of ongoing research for world science. It is recommended to rewrite it, clearly highlighting the relevance, problems, goals and objectives of the study

[Reply] According to reviewer’s suggestion, the introduction had been revised to clearly highlight the relevance, problems, goals and objectives of this study.

 

 

  1. The decoding of the values "C-V" is given only on line 91, although this designation is found earlier on line 62. It is better to provide a transcript on line 62

[Reply] This mistake had been corrected.

 

  1. Literature [1, 2, 7, 12, 13, 17, 20, 28] if possible, it should be replaced with newer sources.
  2. [Reply] The literatures had been replaced with newer sources.

 

  1. In a research article, 45% originality is a low percentage. It is recommended to revise the article to increase its originality.

[Reply] The revised manuscript had been revised comprehensively.   

.

 

Comments on the Quality of English Language

The English language as a whole is at an acceptable level, it is only necessary to check the article for typos

[Reply]  Grammatical and writing style errors in the original version had been corrected again by our colleague who is a native English speaker. Additionally, typos had been corrected in the revised manuscript.

Reviewer 2 Report

Comments and Suggestions for Authors

The authors present an experimental study describing the integration of self-assembled monolayers (SAMs) into Co/porous low-dielectric-constant silicon-based substrates.

Although the subject is important and some aspects of the work are novel, the authors failed to fully explain some of their findings. Additional structural characterization techniques should be included to provide a comprehensive explanation of the dielectric measurements presented.

On page 3, line 114, the authors state, "On the other hand, the delamination rate of the Co/p-SiOCH sample with the insertion of SAM reduced, but the value was 22.5%." However, Table 1 presents a different value (18.8%).

On page 5, lines 174-176, the authors state, "A larger improvement in the breakdown field for Cu-electrode MIS capacitors is attributed to the SAM formation derived from APTMS molecules, which significantly reinforces the interfacial adhesion between Cu and p-SiOCH films." This statement should be supported by the adhesion measurements presented in Table 1. Micrographs of the pull-off adhesion test of the samples should be provided.

To provide more information about the size and distribution of nanopores in the films, as well as their thickness and the adhesion of SAM to the substrate, scanning electron microscopy images of the samples should be presented.

On page 3, the authors state that an HP LCR meter was used to measure capacitance and a DC bias voltage was applied between -40V and 40V. However, there is missing information about the AC voltage signal (1 MHz) and the time lapse between sweeps. These points should be clarified.

In the conclusions, the authors state, "Therefore, it can be concluded that SAM is positive for the Co/porous low-k integration scheme." This sentence should be rephrased to summarize why SAM is beneficial for the Co/porous integration scheme.

The english should be improved.

Comments on the Quality of English Language

The authors should improve the english.

Author Response

  1. On page 3, line 114, the authors state, "On the other hand, the delamination rate of the Co/p-SiOCH sample with the insertion of SAM reduced, but the value was 22.5%." However, Table 1 presents a different value (18.8%).
    [Reply] This typo had been corrected.
  2. On page 5, lines 174-176, the authors state, "A larger improvement in the breakdown field for Cu-electrode MIS capacitors is attributed to the SAM formation derived from APTMS molecules, which significantly reinforces the interfacial adhesion between Cu and p-SiOCH films." This statement should be supported by the adhesion measurements presented in Table 1. Micrographs of the pull-off adhesion test of the samples should be provided.
    [Reply] This statement is supported by the adhesion measurements presented in Table 1
  3. To provide more information about the size and distribution of nanopores in the films, as well as their thickness and the adhesion of SAM to the substrate, scanning electron microscopy images of the samples should be presented.
    [Reply] Scanning electron microscopy images of the samples is on-going. We will present the result in the following
  4. On page 3, the authors state that an HP LCR meter was used to measure capacitance and a DC bias voltage was applied between -40V and 40V. However, there is missing information about the AC voltage signal (1 MHz) and the time lapse between sweeps. These points should be clarified.

[Reply] The description of C-V measurement had been revised, as

CV measurement in a semiconductor parameter analyzer (HP4280A) was made by using two simultaneous voltage sources: an applied AC voltage signal and a DC voltage. The frequency of the AC voltage is 1 MHz; the magnitude of the DC voltage is swept from -40 V to 40 V”.

 

  1. In the conclusions, the authors state, "Therefore, it can be concluded that SAM is positive for the Co/porous low-k integration scheme." This sentence should be rephrased to summarize why SAM is beneficial for the Co/porous integration scheme.

[Reply] The conclusions had been rephrased, as

In this study, SAM derived from APTMS in the vapor phase was integrated into Co/porous low-k interconnects. The electrical characteristics and reliability were characterized by using C-V, I-V, TDDB, and stud-pull tape tests. SAM derived from APTMS molecules improved breakdown field, TDDB time-to-breakdown, barrier capacity, and adhesion ability of Co/porous low-k film. Therefore, it can be concluded that SAM is positive for Co/porous low-k integration scheme. However, the improvement magnitude is not as much as that in the Cu//porous low-k interconnects. In order to promote its maximum efficiency, searching for another SAM precursor molecules with different terminal groups should be made, being the topic of the further research.”

 

The english should be improved.

[Reply]  Grammatical and writing style errors in the original version had been corrected again by our colleague who is a native English speaker. Additionally, typos had been corrected in the revised manuscript.

Reviewer 3 Report

Comments and Suggestions for Authors

1. Please emphasize the novelty of this study in the abstract, highlighting how it differs from previous research. Additionally, quantitative data should be included to provide readers with a clear understanding of the key data points.

2. The Experimental part is somewhat weak; the author should supplement it with an overview and analysis of relevant deposition and measurement instruments. What kind of PECVD system has been used? What were the parameters (substrate temperature, pressure, gas flow and composition, pressure before deposition, …). What kind of a capacitance-coupled reactor was used for oxidation? How the  thickness of the deposited porous low-k film was measured?

Line 75 “The pressure was maintained at 0.5 torr” and Line 84: “The working pressure was kept at 0.5 torr”. The authors should use Si units Pa instead of torr.

3. In the results Line 91: “Capacitance–voltage (C–V), current–voltage (I–V), and time-dependent-dielectric breakdown (TDDB) were characterized on the fabricated MIS capacitors. C–V measurement was operated at the frequency of 1 MHz”. The authors should measure impedance as a function of frequency to be plotted as a Nyquist plot which signifies a charge transfer processes.

4. The discussion and conclusion sections are somewhat weak; it is recommended that more description and analysis be added. Particularly in the conclusion section, the author should list the main findings in 4-6 points.

Comments on the Quality of English Language

The English needs to be improved, for example the sentence in the line 23: “Copper (Cu)/low-dielectric-constant (low-k) dielectric have been replaced traditional Al/SiO2 to serve as back-end-of-line (BEOL) interconnects in the advanced integrated circuits (ICs)…” should be replaced by “Copper (Cu)/low-dielectric-constant (low-k) dielectric have replaced traditional Al/SiO2 to serve as back-end-of-line (BEOL) interconnects in the advanced integrated circuits (ICs)…

Line 54: “dielectric constnt” should be “dielectric constant”

 

Line 154: “Figure 1. C-V curves of of Cu-electrode and Co-electrode MIS capacitors with the pristine and SAMs-coated p-SiOCH films.” should be ““Figure 1. C-V curves of Cu-electrode and Co-electrode MIS capacitors with the pristine and SAMs-coated p-SiOCH films.”

Author Response

  1. Please emphasize the novelty of this study in the abstract, highlighting how it differs from previous research. Additionally, quantitative data should be included to provide readers with a clear understanding of the key data points.

[Reply] According to reviewer’s suggestion, the introduction had been revised to clearly highlight the relevance, problems, goals and objectives of this study.

  1. The Experimental part is somewhat weak; the author should supplement it with an overview and analysis of relevant deposition and measurement instruments. What kind of PECVD system has been used? What were the parameters (substrate temperature, pressure, gas flow and composition, pressure before deposition, …). What kind of a capacitance-coupled reactor was used for oxidation? How the  thickness of the deposited porous low-k film was measured?

[Reply] The Experimental part had been revised. All Experimental information had been added in the revised manuscript.  

  1. Line 75 “The pressure was maintained at 0.5 torr” and Line 84: “The working pressure was kept at 0.5 torr”. The authors should use Si units Pa instead of torr.

[Reply] Torr had been transformed to Pa in the revised manuscript.  

  1. In the results Line 91: “Capacitance–voltage (C–V), current–voltage (I–V), and time-dependent-dielectric breakdown (TDDB) were characterized on the fabricated MIS capacitors. C–V measurement was operated at the frequency of 1 MHz”. The authors should measure impedance as a function of frequency to be plotted as a Nyquist plot which signifies a charge transfer processes.

[Reply] Sorry, we did not this method. Thanks for reviewer’s suggestion, we will evaluate in the future study.

  1. The discussion and conclusion sections are somewhat weak; it is recommended that more description and analysis be added. Particularly in the conclusion section, the author should list the main findings in 4-6 points.

[Reply] The conclusions had been rephrased, as

In this study, SAM derived from APTMS in the vapor phase was integrated into Co/porous low-k interconnects. The electrical characteristics and reliability were characterized by using C-V, I-V, TDDB, and stud-pull tape tests. SAM derived from APTMS molecules improved breakdown field, TDDB time-to-breakdown, barrier capacity, and adhesion ability of Co/porous low-k film. Therefore, it can be concluded that SAM is positive for Co/porous low-k integration scheme. However, the improvement magnitude is not as much as that in the Cu//porous low-k interconnects. In order to promote its maximum efficiency, searching for another SAM precursor molecules with different terminal groups should be made, being the topic of the further research.”

 

The English needs to be improved, for example the sentence in the line 23: “Copper (Cu)/low-dielectric-constant (low-k) dielectric have been replaced traditional Al/SiO2 to serve as back-end-of-line (BEOL) interconnects in the advanced integrated circuits (ICs)…” should be replaced by “Copper (Cu)/low-dielectric-constant (low-k) dielectric have replaced traditional Al/SiO2 to serve as back-end-of-line (BEOL) interconnects in the advanced integrated circuits (ICs)…

Line 54: “dielectric constnt” should be “dielectric constant”

 Line 154: “Figure 1. C-V curves of of Cu-electrode and Co-electrode MIS capacitors with the pristine and SAMs-coated p-SiOCH films.” should be ““Figure 1. C-V curves of Cu-electrode and Co-electrode MIS capacitors with the pristine and SAMs-coated p-SiOCH films.”

[Reply]  Grammatical and writing style errors in the original version had been corrected again by our colleague who is a native English speaker. Additionally, typos had been corrected in the revised manuscript.

Round 2

Reviewer 3 Report

Comments and Suggestions for Authors

No comments to the revised paper.

Author Response

OK!

 

Thanks!

Back to TopTop