An Investigation of Clock Skew Using a Wirelength-Aware Floorplanning Process in the Pre-Placement Stages of MSV Layouts
Abstract
:1. Introduction
Related Works
2. Problem Formulation
3. Preliminaries
3.1. Floorplanning Representation
3.2. Placement
3.3. Perturbations
3.4. Our Contributions
4. Proposed Early Clock Planning Algorithm
Algorithm 1: Early clock planning algorithm (T) | |
1. | A tree T, with nodes representing modules in the design |
2. | , where |
3. | for level do |
4. | |
5. | Traverse tree T, using DFS; |
6. | mark clocked modules as CLK; |
7. | Choose |
8. | ; |
9. | update ; |
10. | end for |
Algorithm 2: | |
1. | for do |
2. | |
3. | |
4. | end for |
5. | Update |
Placement
5. Simulation Results
5.1. Performance of the Floorplan in Iterations
5.2. Comparisons between the Proposed Floorplan Methodology and the SKB Tree-Based Floorplan after CTS
6. Conclusions
Author Contributions
Funding
Data Availability Statement
Conflicts of Interest
References
- Pangjun, J.; Sapatnekar, S.S. Low-power clock distribution using multiple voltages and reduced swings. In IEEE Transactions on Very Large Scale Integration (VLSI) Systems; IEEE: New York, NY, USA, 2002; Volume 10, pp. 309–318. [Google Scholar]
- Igarashi, M.; Usami, K.; Nogami, K.; Minami, F.; Kawasaki, Y.; Aoki, T.; Takano, M.; Sonoda, S.; Ichida, M.; Hatanaka, N. A low-power design method using multiple supply voltages. In Proceedings of the 1997 International Symposium on Low Power Electronics and Design, Monterey, CA, USA, 18–20 August 1997; pp. 36–41. [Google Scholar]
- Tsai, C.C.; Lin, T.H.; Tsai, S.H.; Chen, H.M. Clock planning for multi-voltage and multi-mode designs. In Proceedings of the 2011 12th International Symposium on Quality Electronic Design, Santa Clara, CA, USA, 14–16 March 2011; pp. 1–5. [Google Scholar]
- Lung, C.L.; Zeng, Z.Y.; Chou, C.H.; Chang, S.C. Clock skew optimization considering complicated power modes. In Proceedings of the 2010 Design, Automation Test in Europe Conference Exhibition (DATE 2010), Dresden, Germany, 8–12 March 2010; pp. 1474–1479. [Google Scholar]
- Rajesh, A.; Raju, B.L.; Reddy, K.C.K. Design of voltage scaled level converters in low power clock distribution networks. In Proceedings of the 2016 IEEE International Conference on Recent Trends in Electronics, Information Communication Technology (RTEICT), Bangalore, India, 20–21 May 2016; pp. 579–583. [Google Scholar]
- Tsai, J.-L.; Chen, T.-H.; Chen, C.C.P. Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems; IEEE: New York, NY, USA, 2004; Volume 23, pp. 565–572. [Google Scholar]
- Roy, S.; Mattheakis, P.M.; Masse-Navette, L.; Pan, D.Z. Evolving challenges and techniques for nanometer soc clock network synthesis. In Proceedings of the 2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Guilin, China, 28–31 October 2014; pp. 1–4. [Google Scholar]
- Balboni, A.; Costi, C.; Pellencin, M.; Quadrini, A.; Sciuto, D. Clock skew reduction in ASIC logic design: A methodology for clock tree management. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems; IEEE: New York, NY, USA, 1998; Volume 17, pp. 344–356. [Google Scholar]
- Chan, T.B.; Kahng, A.B.; Li, J. Nolo: A no-loop, predictive useful skew methodology for improved timing in ic implementation. In Proceedings of the Fifteenth International Symposium on Quality Electronic Design, Santa Clara, CA, USA, 3–5 March 2014; pp. 504–509. [Google Scholar]
- Lin, M.P.H.; Hsu, C.C.; Chang, Y.T. Recent research in clock power saving with multi-bit flip-flops. In Proceedings of the 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS), Seoul, Korea, 7–10 August 2011; pp. 1–4. [Google Scholar]
- Tengand, S.K.; Soin, N. Low power clock gates optimization for clock tree distribution. In Proceedings of the 2010 11th International Symposium on Quality Electronic Design (ISQED), San Jose, CA, USA, 22–24 March 2010; pp. 488–492. [Google Scholar]
- Dev, M.P.; Baghel, D.; Pandey, B.; Pattanaik, M.; Shukla, A. Clock gated low power sequential circuit design. In Proceedings of the 2013 IEEE Conference on Information Communication Technologies, Thuckalay, India, 11–12 April 2013; pp. 440–444. [Google Scholar]
- Chen, S.Y.; Lin, R.B.; Tung, H.H.; Lin, K.W. Power gating design for standard-cell-like structured ASICs. In Proceedings of the 2010 Design, Automation Test in Europe Conference Exhibition (DATE 2010), Dresden, Germany, 8–12 March 2010; pp. 514–519. [Google Scholar]
- Balasubramanian, S.; Panchanathan, A.; Chokkalingam, B.; Padmanaban, S.; Leonowicz, Z. Module Based Floorplanning Methodology to Satisfy Voltage Island and Fixed Outline Constraints. Electronics 2018, 7, 325. [Google Scholar] [CrossRef] [Green Version]
- Lin, M.P.H.; Hsu, C.C.; Chen, Y.C. Clock-tree aware multibit flip-flop generation during placement for power optimization. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems; IEEE: New York, NY, USA, 2015; Volume 34, pp. 280–292. [Google Scholar]
- Yan, J.T.; Chen, Z.W. Construction of constrained multi-bit flip-flops for clock power reduction. In Proceedings of the 2010 International Conference on Green Circuits and Systems, Shanghai, China, 21–23 June 2010; pp. 675–678. [Google Scholar]
- Shyu, Y.T.; Lin, J.M.; Huang, C.P.; Lin, C.W.; Lin, Y.Z.; Chang, S.J. Effective and efficient approach for power reduction by using multi-bit flip-flops. In IEEE Transactions on Very Large Scale Integration (VLSI) Systems; IEEE: New York, NY, USA, 2013; Volume 21, pp. 624–635. [Google Scholar]
- Jooand, D.; Kim, T. Managing clock skew in clock trees with local clock skew requirements using adjustable delay buffers. In Proceedings of the 2015 International SoC Design Conference (ISOCC), Gyeongju, Korea, 2–5 November 2015; pp. 137–138. [Google Scholar]
- Moon, H.; Kim, T. Design and allocation of loosely coupled multi-bit flip-flops for power reduction in post- placement optimization. In Proceedings of the 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC), Macao, China, 25–28 January 2016; pp. 268–273. [Google Scholar]
- Chen, Z.W.; Yan, J.T. Routability-driven flip-flop merging process for clock power reduction. In Proceedings of the 2010 IEEE International Conference on Computer Design, Amsterdam, The Netherlands, 3–6 October 2010; pp. 203–208. [Google Scholar]
- Chong, A.B. ASIC clock tree estimation in design planning. In Proceedings of the 2013 4th International Conference on Intelligent Systems, Modelling and Simulation, Bangkok, Thailand, 29–31 January 2013; pp. 619–626. [Google Scholar]
- Krishnamoorthy, R.; Krishnan, K.; Chokkalingam, B.; Padmanaban, S.; Leonowicz, Z.; Holm-Nielsen, J.B.; Mitolo, M. Systematic Approach for State-of-the-Art Architectures and System-on-Chip Selection for Heterogeneous IoT Applications. IEEE Access 2021, 9, 25594–25622. [Google Scholar] [CrossRef]
- Huang, S.-H.; Wang, C.-L. An effective floorplan- based power distribution network design methodology under reliability constraints. In Proceedings of the 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat No.02CH37353), Phoenix-Scottsdale, AZ, USA, 26–29 May 2002; Volume 1, pp. 353–356. [Google Scholar]
- Man, X.; Kimura, X. Comparison of optimized multi-stage clock gating with structural gating approach. In Proceedings of the TENCON 2011—2011 IEEE Region 10 Conference, Bali, Indonesia, 21–24 November 2011; pp. 651–656. [Google Scholar]
- Guo, J.; Cao, P.; Wu, J.; Liu, Z.; Yang, J. Analytical Gate Delay Variation Model with Temperature Effects in Near-Threshold Region Based on Log-Skew-Normal Distribution. Electronics 2019, 8, 501. [Google Scholar] [CrossRef] [Green Version]
- Balasubramanian, S.; Chokkalingam, B.; Krishnamoorthy, R.; Adedayo, Y. Power optimization through FuzzyMinProduct algorithm for voltage assignment in SOC design. J. Appl. Sci. Eng. 2020, 23, 655–659. [Google Scholar]
- Khan, N.; Castro-Godinez, J.; Xue, S.; Henkel, J.; Becker, J. Automatic Floorplanning and Standalone Generation of Bitstream-Level IP Cores. IEEE Trans. Very Large Scale Integr. Syst. 2021, 29, 38–50. [Google Scholar] [CrossRef]
- Sadeghi, A.; Zolfy Lighvan, M.; Prinetto, P. Automatic and Simultaneous Floorplanning and Placement in Field-Programmable Gate Arrays with Dynamic Partial Reconfiguration Based on Genetic Algorithm. Can. J. Electr. Comput. Eng. 2020, 43, 224–234. [Google Scholar] [CrossRef]
- Lin, J.-M.; Hung, Z.-X. SKB-tree: A fixed-outline driven representation for modern floorplanning problems. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2011, 20, 473–484. [Google Scholar] [CrossRef]
Benchmark | Function | Sequential | Inverter | Buffer | Logic | Total |
---|---|---|---|---|---|---|
AES_CORE | AES Cipher | 530 | 5589 | 274 | 14,402 | 20,795 |
DSP_CORE | 16-bit DSP | 3611 | 5258 | 42 | 23,523 | 32,436 |
DMA_CORE | DMA | 2192 | 2678 | 253 | 13,995 | 19,118 |
AC_97CTRL | WISHBONE | 2199 | 1525 | 111 | 8020 | 11,855 |
Benchmark Circuit | AES_CORE | DSP_CORE | DMA_CORE | AC_97ctrl |
---|---|---|---|---|
No. of Modules present | 17 | 28 | 15 | 16 |
No. of Clocked Modules | 2 | 6 | 5 | 7 |
Aspect Ratio | 1:1, 2:1, 3:1 | 1:1, 2:1, 3:1 | 1:01 | 1:01 |
Core utilization | 70% | 70% | 70% | 70% |
Supply Voltages(V) | 1.1, 0.9 | 1.1, 0.9 | 1.1, 0.9 | 1.1, 0.9 |
Iterations | Total Power (nW) | Delay (ps) | Wirelength (um) | Cost Function | ||||
---|---|---|---|---|---|---|---|---|
PD-1 | PD-2 | PD-1 | PD-2 | PD-1 | PD-2 | PD-1 | PD-2 | |
Iteration-1 | 2.64 | 3.073 | 3.62 | 2.9 | 0.53 | 0.536 | 6.923 | 6.638 |
Iteration-2 | 3.18 | 2.136 | 2.43 | 4.559 | 0.57 | 0.482 | 6.312 | 7.309 |
Iteration-3 | 3.37 | 2.095 | 2.93 | 5.36 | 0.55 | 0.484 | 6.982 | 8.066 |
Iteration-4 | 2.2 | 2.109 | 6.26 | 2.984 | 0.56 | 0.497 | 9.152 | 5.723 |
Iteration-5 | 3.19 | 2.118 | 4.89 | 3.169 | 0.54 | 0.5 | 8.752 | 5.92 |
Iteration-6 | 3.13 | 2.12 | 4.79 | 3.172 | 0.54 | 0.5 | 8.59 | 5.924 |
Iterations | Total Power (nW) | Delay (ps) | Wirelength (um) | Cost Function | ||||
---|---|---|---|---|---|---|---|---|
PD-1 | PD-2 | PD-1 | PD-2 | PD-1 | PD-2 | PD-1 | PD-2 | |
Iteration-1 | 6.31 | 6.902 | 1.96 | 2.361 | 0.87 | 0.115 | 9.51 | 9.6 |
Iteration-2 | 6.61 | 5.304 | 2.301 | 2.325 | 0.88 | 0.119 | 10 | 7.97 |
Iteration-3 | 5.21 | 8.039 | 3.92 | 2.561 | 0.8 | 0.176 | 10.2 | 11 |
Iteration-4 | 6.72 | 7.384 | 2.251 | 2.385 | 0.85 | 0.135 | 10 | 10 |
Iteration-5 | 6.71 | 7.265 | 2.313 | 2.222 | 0.86 | 0.135 | 10.1 | 9.95 |
Circuit | Levels | Sub-Trees | Skew | Slack Time | |
---|---|---|---|---|---|
Rise Time (ps) | Fall Time (ps) | ||||
AES_CORE | 1 | 1 | 0 | 0 | 0.029 |
DSP_CORE | 3 | 49 | 86.9 | 99.3 | 3.215 |
DMA_CORE | 3 | 25 | 27.4 | 23.8 | 0.091 |
AC97_ctrl | 5 | 53 | 18.2 | 18.1 | −1.3 |
Circuit | Levels | Sub-Trees | Skew | Slack Time | |
---|---|---|---|---|---|
Rise Time (ps) | Fall Time (ps) | ||||
AES_CORE | 1 | 1 | 0 | 0 | 0.029 |
DSP_CORE | 5 | 53 | 106.3 | 107.8 | −4.31 |
DMA_CORE | 5 | 57 | 101.5 | 112.5 | −3.13 |
AC97_ctrl | 7 | 64 | 28.2 | 29.3 | −2.89 |
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations. |
© 2021 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Srinath, B.; Verma, R.; Barnawi, A.B.; Raja, R.; Muqeet, M.A.; Shukla, N.K.; Christy, A.A.; Bharatiraja, C.; Munda, J.L. An Investigation of Clock Skew Using a Wirelength-Aware Floorplanning Process in the Pre-Placement Stages of MSV Layouts. Electronics 2021, 10, 2795. https://doi.org/10.3390/electronics10222795
Srinath B, Verma R, Barnawi AB, Raja R, Muqeet MA, Shukla NK, Christy AA, Bharatiraja C, Munda JL. An Investigation of Clock Skew Using a Wirelength-Aware Floorplanning Process in the Pre-Placement Stages of MSV Layouts. Electronics. 2021; 10(22):2795. https://doi.org/10.3390/electronics10222795
Chicago/Turabian StyleSrinath, B., Rajesh Verma, Abdulwasa Bakr Barnawi, Ramkumar Raja, Mohammed Abdul Muqeet, Neeraj Kumar Shukla, A. Ananthi Christy, C. Bharatiraja, and Josiah Lange Munda. 2021. "An Investigation of Clock Skew Using a Wirelength-Aware Floorplanning Process in the Pre-Placement Stages of MSV Layouts" Electronics 10, no. 22: 2795. https://doi.org/10.3390/electronics10222795
APA StyleSrinath, B., Verma, R., Barnawi, A. B., Raja, R., Muqeet, M. A., Shukla, N. K., Christy, A. A., Bharatiraja, C., & Munda, J. L. (2021). An Investigation of Clock Skew Using a Wirelength-Aware Floorplanning Process in the Pre-Placement Stages of MSV Layouts. Electronics, 10(22), 2795. https://doi.org/10.3390/electronics10222795