Next Article in Journal
IoT Dataset Validation Using Machine Learning Techniques for Traffic Anomaly Detection
Next Article in Special Issue
Mathematical Modelling of the Influence of Parasitic Capacitances of the Components of the Logarithmic Analogue-to-Digital Converter (LADC) with a Successive Approximation on Switched Capacitors for Increasing Accuracy of Conversion
Previous Article in Journal
Cross-Modal Guidance Assisted Hierarchical Learning Based Siamese Network for MR Image Denoising
Previous Article in Special Issue
A Pipelined Noise-Shaping SAR ADC Using Ring Amplifier
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A 28 nm CMOS 10 bit 100 MS/s Asynchronous SAR ADC with Low-Power Switching Procedure and Timing-Protection Scheme

1
Chongqing Engineering Laboratory of High Performance Integrated Circuits, School of Microelectronics and Communication Engineering, Chongqing University (CQU), Chongqing 400044, China
2
VIRTUS, IC Design Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 637598, Singapore
3
College of Science and Engineering, Hamad Bin Khalifa University, Doha 34110, Qatar
*
Author to whom correspondence should be addressed.
Electronics 2021, 10(22), 2856; https://doi.org/10.3390/electronics10222856
Submission received: 11 October 2021 / Revised: 13 November 2021 / Accepted: 15 November 2021 / Published: 19 November 2021
(This article belongs to the Special Issue Advances on Analog-to-Digital and Digital-to-Analog Converters)

Abstract

:
This paper presents a 10 bit 100 MS/s asynchronous successive approximation register (SAR) analog-to-digital converter (ADC) without calibration for industrial control system (ICS) applications. Several techniques are adopted in the proposed switching procedure to achieve better linearity, power and area efficiency. A single-side-fixed technique is utilized to reduce the number of capacitors; a parallel split capacitor array in combination with a partially thermometer coded technique can minimize the switching energy, improve speed, and decrease differential non-linearity (DNL). In addition, a compact timing-protection scheme is proposed to ensure the stability of the asynchronous SAR ADC. The proposed ADC is fabricated in a 28 nm CMOS process with an active area of 0.026 mm 2 . At 100 MS/s, the ADC achieves a signal-to-noise-and-distortion ratio (SNDR) of 51.54 dB and a spurious free dynamic range (SFDR) of 55.12 dB with the Nyquist input. The measured DNL and integral non-linearity (INL) without calibration are +0.37/−0.44 and +0.48/−0.63 LSB, respectively. The power consumption is 1.1 mW with a supply voltage of 0.9 V, leading to a figure of merit (FoM) of 35.6 fJ/conversion-step.

1. Introduction

In recent years, as a key part of data acquisition and transmission units, ADCs have played an essential role in industrial control system (ICS) and intelligence factory applications, which not only requires low power and compactness, but also cares about the response speed, linearity and reliability [1]. With the CMOS process and supply voltage scaling down, SAR ADCs are well suited for these applications, owing to their low hardware cost and digital-friendly architecture. Importantly, the low latency of SAR ADCs can provide timely feedback for ICS so as to realize an accurate control loop with good transient response [2].
During the last decade, the performance of SAR ADCs was improved prominently by many researchers throughout the world. Seung-Tak Ryu et al. proposed SAR-assisted (SA) SAR ADC that uses a double clock-rate coarse decision technique to enhance the DAC settling speed [3]. Zhichao Tan et al. combined oversampling and mismatch error shaping with redundancy and digital error correction to enable high resolution [4]. Shubin Liu et al. adopted the output offset storage method to improve linearity and the novel switching scheme to obtain power efficiency [5]. Moreover, non-binary search with redundancy solution is often utilized to improve the capacitor digital-to-analog converter (CDAC) settling and reduce reference current [6,7,8,9,10]. From these works, it can be found that reducing the number of capacitors is the foundation of power-efficient SAR ADC design. However, the less the capacitance, the worse the linearity, unless increments of hardware complexity and power on calibration can be accepted.
In this paper, a power- and area-efficient SAR ADC with high linearity in a 28 nm CMOS process is presented, which requires no calibration. Bottom-plate sampling is used to realize better linearity with little power penalty. In addition, the combination of a partially thermometer-coded and parallel split capacitor array can further optimize DNL. Subsequently, a CDAC switching scheme is proposed to symmetrically realize a constant common-mode voltage, thus avoiding linearity degeneration. The power and area efficiency mainly benefit from the advanced process, low power supply, parallel split capacitor array and single-side-fixed technique. For ICS applications, the reliability and the stability are important, but asynchronous SAR timing logic may collapse, as the comparator is operated in a metastable state. Therefore, a compact and effective timing-protection scheme is proposed to ensure that the asynchronous controller works normally.
The remainder of the paper is organized as follows. The architecture and design concept of the proposed SAR ADC and switching procedure are described in Section 2. The building blocks implementation is shown in Section 3. Section 4 reveals the measurement results. Finally, the drawn conclusions are presented in Section 5.

2. ADC Architecture

2.1. SAR ADC Architecture

In order to have good common-mode noise rejection and achieve high precision, the fully differential architecture is often applied in the ADC. Figure 1 shows the block diagram of the proposed 10 bit SAR ADC mainly composed of the SAR control logic, timing-protection scheme, dynamic comparator, differential CDAC array, bootstrapped switch and voltage reference circuit.
To improve the DNL of the SAR ADC, a segmented CDAC array with parallel split capacitor is adopted by the ADC. Figure 2 shows the architecture of the bootstrapped switch and CDAC array in detail. All capacitors and switches are split into two equal parts, except capacitors C 2 and C 1 . For clarity, S P 3 P , representing the switch connected to 1 / 2 C 3 , is set to V R E F P in the D A C P side during the holding period. In addition, the first 4 bits are achieved by the thermometer coding in MSB array with 15 capacitor of C 6 , while the remaining 6b-LSBs are binary coded in LSB array. As the single-side-fixed technique is applied, the proposed CDAC array has a 512 unit capacitor, less than the conventional 10 bit V C M -based SAR ADC with a 1024 unit capacitor [11]. What is more, most of the capacitors are only switched to V R E F P / V R E F N in the conversion process, which decreases the switching energy and realizes a constant V C M . To expedite the conversion time, asynchronous control logic is utilized in the ADC. Meanwhile, a potential problem in the asynchronous logic must be considered. When the comparator is in a metastable state, the timing collapse will occur in the ADC. Therefore, a timing-protection scheme is proposed to ensure the stability of the time.
The CDAC array is controlled by true single phase clock (TSPC) logic. Compared with traditional static CMOS logic, TSPC logic consumes low power and operates at a high speed with a smaller number of transistors. Unfortunately, TSPC logic has poor anti-noise ability, due to charge leakage.

2.2. Switching Procedure

To improve the conversion speed and decrease power, a partially thermometer-coded CDAC with a parallel split capacitor switching scheme is presented. The flow chart of the proposed switching procedure is shown in Figure 3. During the sampling period, the top plates of all capacitors are connected to the common-mode voltage V C M through bootstrapped switches. In the meantime, to obtain good linearity, the input signal is sampled on the bottom plate of the capacitor when all switches are set to V I N P / V I N N . During the holding period, the top plates are disconnected from V C M . In addition, S P 2 , S P 1 , S N 2 , and S N 1 are switched to V C M on the bottom plate, while S P 9 P to S P 3 P and S N 9 P to S N 3 P are switched to V R E F P , and other parallel ones are switched to V R E F N . As V C M = ( V R E F P + V R E F N ) / 2 , all capacitors are connected to V C M . When the conversion starts, the first comparison is carried out directly by the dynamic comparator without switching any capacitor. Next, the most significant bit (MSB) B 10 is determined via the comparative result. In the advance node, the partially thermometer-coded structure can obtain good trade-off between additional decoder and power consumption versus achieved linearity improvement [12]. Therefore, thermometer coding is adopted in the MSB array, and the number of capacitors C 6 which need to be operated is 8/4/2/1 on the D A C P / D A C N side, respectively. As an example, if V P > V N ( B 10 = 1), there are 8 capacitor of C 6 selected to operate. S P 9 P is switched to V R E F N , and the S P 8 N switched to V R E F N is kept unchanged on the D A C P side. Then, 2nd-MSB B 9 is obtained after comparing V P and V N . The ADC repeats the process until the first eight bits ( B 10 B 3 ) are obtained. In particular, there is a unit capacitor in the capacitor C 2 . If B 3 = 1, S P 2 and S N 2 connecting the capacitor C 2 are switched from V C M to V R E F N and V R E F P .
Moreover, a single-side-fixed technique is utilized to reduce the number of capacitors. The conventional MCS switching procedure has the unswitched dummy capacitor in the last conversion step [13], while the proposed one takes full advantage of the capacitor. For the dummy capacitor C 1 , only S P 1 on the D A C P side is switched, and S N 1 is fixed to V C M as usual. At the same resolution, the number of unit capacitors using the single-side-fixed technique is half of that using the MCS switching procedure. Then, the least significant bit (LSB) B 1 is achieved by the last comparison.

3. Building Blocks Implementation

3.1. SAR Control Logic

In this paper, an asynchronous control logic is applied to optimize the conversion time. Figure 4 shows a timing diagram of the asynchronous control logic involved in first two comparison. Next, the comparison process is controlled by the asynchronous logic, which is discussed in detail. C l k s is the control signal of the sampling and holding processes. C T R < 9 > and C T R < 8 > are control signals of the CDAC array. Q / Q N and V a l i d are the complementary output and the comparison complete signal of the dynamic comparator, respectively. C l k c is to control per comparison. Though there is no synchronous clock, the conversion still has a strict sequence. If conversion starts, the first comparison is carried out directly. When C l k c goes high, the comparator begins to operate until a valid result is obtained, followed by output latch. At the same time, the V a l i d signal is generated by the comparator and digital circuits, and then the CDAC array begins to be switched when C T R < 9 > goes high. The ADC starts the next comparison until the settling of the CDAC array. Moreover, the V a l i d signal can be generated in advance to the regeneration process, which is also beneficial for improving the conversion speed of the ADC.

3.2. Timing-Protection Scheme

A timing-protection circuit is presented to ensure that the conversion process has a strict sequence in the asynchronous logic. As the comparator is operated in a metastable state, the results cannot be obtained during the preset time. It is not a serious potential problem in synchronous logic [14], but the timing logic may collapse in asynchronous SAR ADC. A compact and reliable method is to adopt the proposed circuit. Figure 5 shows the schematic and timing diagram of the timing-protection circuit mainly composed of a NAND gate, which has the delay time τ N A N D , delay circuit and NOR gate. The timing protection module is mainly composed of digital logic circuits, so it is not much affected by PVT. To ensure that the asynchronous ADC continues to work normally, the delay time τ d e l a y is slightly less than the maximum comparator decision time τ c o m p that the ADC can tolerate. In the reset phase of the comparator when the Clkc is low, the outputs Q / Q N are charged to the positive supply (AVDD). Therefore, the output V a l i d 1 of the NAND gate is logic 0. V a l i d / V a l i d 2 is the comparison complete signal and delay signal generated by clock C l k c , respectively. Next, the comparator enters the operating state when the C l k c is high. When the input voltage difference | V p V n | is far greater than LSB in the comparator, the circuit completes the comparison quickly and τ c o m p + τ N A N D < τ d e l a y . Meanwhile, the output V a l i d 1 of the NAND gate and the comparison complete signal V a l i d are changed to logic 1. When | V p V n |   0 , the comparator is operated in a metastable state and τ c o m p + τ N A N D > τ d e l a y . V a l i d 2 is changed to logic 1, and the output V a l i d 1 of the NAND gate still keeps logic 0 due to the unfinished comparison. Next, the comparison completes the signal and V a l i d is changed to logic 1. To ensure that the asynchronous controller works normally, the output logic level of the comparator is changed to logic 0/1 with a pseudo-random PN code circuit. Since the analog input signal is quantified to the LSB, the output logic level (logic 0 or logic 1) of the comparator does not affect the final quantization result.

3.3. Dynamic Comparator

To eliminate kick-back noise and improve the comparison speed, a pre-amplifier is adopted as its first stage, followed by a regenerative latch. The schematic of the high-speed dynamic comparator is shown in Figure 6. As a trade-off, the comparator has higher static power dissipation than most of counterparts without a pre-amplifier [15]. This overhead is affordable, as the power is relatively small at 0.9 V supply. In the reset phase when the C l k c is low, the outputs Q / Q N are charged to the positive supply (AVDD). Next, the comparator enters the regeneration state when C l k c goes high. The positive feedback latch composed of M5, M6, M7, and M8 starts to operate, pulling one of the outputs low.

3.4. Differential CDAC Array

To implement the area-efficient CDAC array with low parasitic capacitance, five-layer low-cost metal-oxide-metal (MOM) finger capacitors are applied in this paper. To minimize the DNL error caused by CDAC array mismatch, the design of the layout is also important. Figure 7 shows the layout of the differential CDAC array. Both plates of the capacitor array are mutually crosswise arranged to meet the overall matching requirement. Meanwhile, each bottom plate is surrounded by the corresponding top plate, as both plates are connected to the reference voltage and input ports of the dynamic comparator, respectively. For the unused capacitors in the CDAC array, they are all connected to a low impedance node to improve the matching.

4. Measurement Results

The proposed SAR ADC is designed and fabricated in a 28 nm CMOS process. Figure 8 shows the die photo, and the total active area is 200 μ m × 130 μ m , including the input buffer (0.0028 mm 2 ) and the voltage reference circuit (0.0065 mm 2 ). To guarantee the performance of the bias voltage in sub 1 V power supply, the area of the reference has to be increased slightly. However, benefiting from the advanced process, some areas can be saved, especially in digital circuits.
Figure 9a,b shows the schematic diagram of the test platform and the chip test board. To obtain clean ADC input signals, a test signal generated by high-precision arbitrary signal generator passes the corresponding bandpass filter. The bandpass filter in which the center frequency is set at a specific frequency has a 3 dB bandwidth of 100 KHz and a stopband rejection of 60 dBc. All results are measured at room temperature. At 100 MS/s, the total power consumption is 1.1 mW with 0.9 V supply voltage, where the voltage reference and the input buffer account for 60% (0.66 mW), and the power consumption of the ADC core is only 0.44 mW.
The FFT spectrum with 1 MHz input at 100 MS/s is shown in Figure 10. The proposed SAR ADC achieves a SNDR of 55.13 dB and SFDR of 61.92 dB; thus, the effective number of bits (ENOB) is 8.86 bits.
The ENOB of the proposed ADC at −40/27/125 ℃ and 0.8/0.9/1.0 V supply voltage are post-layout simulated as summarized in Table 1 with five different corners (tt, ff, ss, fnsp, snfp) and 1 MHz input. It can be found that the best ENOB is 9.52 bits at 27 ℃ and 0.9 V supply voltage under the ff corner, and the worst ENOB is 9.06 bits at −40 ℃ and 0.8 V supply voltage under the ss corner. Therefore, the ENOB is not much affected by PVT. Figure 11 shows the SFDR and SNDR of the proposed ADC with respect to the input frequency. The SNDR is 51.54 dB and SFDR is 55.12 dB at the Nyquist input, and the ENOB is 8.27 bits. In addition, the FOM is 35.6 fJ/conversion-step at the input, defined in (1):
F O M = P o w e r / ( 2 E N O B · f s )
where Power and fs are the power consumption and sampling frequency of the SAR ADC, respectively. The main reason for SNDR and SFDR degradation at high input frequency is that a low power supply has more serious influence on the settling of the S/H operation. It is known that bad linearity leads to missing code, which is not accepted in ICS applications.
Figure 12 illustrates that the peak DNL and INL are +0.37/−0.44 and +0.48/−0.63 LSB, proving that the proposed SAR ADC can achieve good linearity without calibration.
The performance summary of the proposed ADC and recent SAR ADCs in sub 100 nm technologies is given in Table 2. Although the reference generator consumes much power and area, this work achieves competitive power and area efficiency. Meanwhile, the linearity of the ADC is also good, even better than [3] with calibration. However, owing to operating at a low supply voltage, there is a little sacrifice of SNDR at a high frequency input.

5. Conclusions

In this paper, a 28 nm 0.9 V low-power SAR ADC with good linearity is presented. With the combination of partially thermometer-coded and parallel split capacitor, the linearity and power efficiency can be improved. Additionally, a compact timing protection scheme is proposed to enhance the stability. At a 0.9 V supply and 100 MS/s, the proposed SAR ADC has a power consumption of 1.1 mW with an active area of 0.026 mm 2 . The maximum DNL and INL are 0.44 LSB and 0.63 LSB without calibration, respectively. The measured results prove that the proposed ADC is suitable for ICS applications.

Author Contributions

Conceptualization, F.T.; methodology, Z.S.; software, Q.M.; validation, Q.M.; formal analysis, F.T.; investigation, F.T.; resources, F.T.; data curation, F.T.; writing—original draft preparation, F.T.; writing—review and editing, Y.Z. and A.B.; visualization, F.T.; supervision, F.T.; project administration, F.T. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the Natural Science Foundation of Chongqing, China grant cstc2019jcyj-zdxmX0014.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Jimenez, O.; Lucia, O.; Urriza, I.; Barragan, L.A.; Navarro, D. Design and Evaluation of a Low-Cost High-Performance Σ-Δ ADC for Embedded Control Systems in Induction Heating Appliances. IEEE Trans. Ind. Electron. 2014, 61, 2601–2611. [Google Scholar] [CrossRef]
  2. Yoshioka, K.; Shikata, A.; Sekimoto, R.; Kuroda, T.; Ishikuro, H. An 8 bit 0.3-0.8 V 0.2-40 MS/s 2-bit/Step SAR ADC With Successively Activated Threshold Configuring Comparators in 40 nm CMOS. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2015, 23, 356–368. [Google Scholar] [CrossRef]
  3. Roh, Y.-J.; Chang, D.-J.; Ryu, S.-T. A 40-nm CMOS 12b 120-MS/s Nonbinary SAR-Assisted SAR ADC with Double Clock-Rate Coarse Decision. IEEE Trans. Circuits Syst. II Express Briefs 2020, 67, 2833–2837. [Google Scholar] [CrossRef]
  4. Zhang, H.; Tan, Z.; Chu, C.; Chen, B.; Li, H.; Coln, M.; Nguyen, K. A 1-V 560-nW SAR ADC with 90-dB SNDR for IoT Sensing Applications. IEEE Trans. Circuits Syst. II Express Briefs 2019, 66, 1967–1971. [Google Scholar] [CrossRef]
  5. Liu, S.; Shen, Y.; Zhu, Z. A 12-Bit 10 MS/s SAR ADC with High Linearity and Energy-Efficient Switching. EEE Trans. Circuits Syst. I Regul. Pap. 2016, 63, 1616–1627. [Google Scholar] [CrossRef]
  6. Tseng, W.; Lee, W.; Huang, C.; Chiu, P. A 12-bit 104 MS/s SAR ADC in 28 nm CMOS for Digitally-Assisted Wireless Transmitters. IEEE J. Solid-State Circuits 2016, 51, 2222–2231. [Google Scholar] [CrossRef]
  7. Qiu, L.; Tang, K.; Zheng, Y.; Siek, L. A Flexible-Weighted Nonbinary Searching Technique for High-Speed SAR-ADCs. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2016, 24, 2808–2812. [Google Scholar] [CrossRef]
  8. Kim, W.; Hong, H.K.; Roh, Y.J.; Kang, H.W.; Hwang, S.I.; Jo, D.S.; Chang, D.-J.; Seo, M.-J.; Ryu, S.-T. A 0.6 V 12 b 10 MS/s Low-Noise Asynchronous SAR-Assisted Time-Interleaved SAR (SATI-SAR) ADC. IEEE J. Solid-State Circuits 2016, 51, 1826–1839. [Google Scholar] [CrossRef]
  9. Li, M.; Chen, Y.; Ye, F.; Ren, J. A 100 MS/S 12-bit Coarse-Fine SAR ADC with Shared Split-CDAC. In Proceedings of the 2018 IEEE International Symposium on Circuits and Systems (ISCAS), Florence, Italy, 27–30 May 2018; pp. 1–4. [Google Scholar] [CrossRef]
  10. Okazaki, T.; Kanemoto, D.; Pokharel, R.; Yoshida, K.; Kanaya, H. A design technique for a high-speed SAR ADC using non-binary search algorithm and redundancy. In Proceedings of the 2013 Asia-Pacific Microwave Conference Proceedings (APMC), Seoul, Korea, 5–8 November 2013; pp. 506–508. [Google Scholar] [CrossRef]
  11. Rikan, B.S.; Seo, D.; Lee, K. A 10-b 10MS/s SAR ADC with power and accuracy control of the comparator. In Proceedings of the 2015 International SoC Design Conference (ISOCC), Gyungju, Korea, 2–5 November 2015; pp. 225–226. [Google Scholar]
  12. Haenzsche, S.; Höppner, S.; Ellguth, G.; Schüffny, R. A 12-b 4-MS/s SAR ADC with Configurable Redundancy in 28-nm CMOS Technology. IEEE Trans. Circuits Syst. II Express Briefs 2014, 61, 835–839. [Google Scholar] [CrossRef]
  13. Guerber, J.; Venkatram, H.; Oh, T.; Moon, U. Enhanced SAR ADC energy efficiency from the early reset merged capacitor switching algorithm. In Proceedings of the 2012 IEEE International Symposium on Circuits and Systems (ISCAS), Seoul, Korea, 20–23 May 2012; pp. 2361–2364. [Google Scholar]
  14. Veendrick, H.J.M. The behaviour of flip-flops used as synchronizers and prediction of their failure rate. IEEE J. Solid-State Circuits 1980, 15, 169–176. [Google Scholar] [CrossRef]
  15. Kobayashi, T.; Nogami, K.; Shirotori, T.; Fujimoto, Y. A current-controlled latch sense amplifier and a static power-saving input buffer for low-power architecture. IEEE J. Solid State Circuits 1993, 28, 523–527. [Google Scholar] [CrossRef]
  16. Li, D.; Liu, M.; Zhao, L.; Mao, H.; Ding, R.; Zhu, Z. An 8-Bit 2.1-mW 350-MS/s SAR ADC with 1.5 b/cycle Redundancy in 65-nm CMOS. IEEE Trans. Circuits Syst. II Express Briefs 2020, 67, 2307–2311. [Google Scholar] [CrossRef]
  17. Moon, K.; Jo, D.; Kim, W.; Choi, M.; Ko, H.; Ryu, S. A 9.1-ENOB 6-mW 10-Bit 500-MS/s Pipelined-SAR ADC with Current-Mode Residue Processing in 28-nm CMOS. IEEE J. Solid-State Circuits 2019, 54, 2532–2542. [Google Scholar] [CrossRef]
  18. Zhang, S.; Cao, Y.; Ye, F.; Ren, J. A 10b 250MS/s SAR ADC with Speed-Enhanced SAR Logic and Free Time More Than a Half of Sampling Period. In Proceedings of the 2019 IEEE 13th International Conference on ASIC (ASICON), Chongqing, China, 29 October–1 November 2019; pp. 1–4. [Google Scholar]
Figure 1. The proposed SAR ADC architecture.
Figure 1. The proposed SAR ADC architecture.
Electronics 10 02856 g001
Figure 2. The architecture of the bootstrapped switch and CDAC array in detail.
Figure 2. The architecture of the bootstrapped switch and CDAC array in detail.
Electronics 10 02856 g002
Figure 3. The flow chart of the proposed switching procedure.
Figure 3. The flow chart of the proposed switching procedure.
Electronics 10 02856 g003
Figure 4. The timing diagram of the asynchronous control logic involved in first two comparisons.
Figure 4. The timing diagram of the asynchronous control logic involved in first two comparisons.
Electronics 10 02856 g004
Figure 5. The schematic and timing diagram of the timing-protection circuit. (a) Schematic. (b) Timing diagram.
Figure 5. The schematic and timing diagram of the timing-protection circuit. (a) Schematic. (b) Timing diagram.
Electronics 10 02856 g005
Figure 6. Dynamic comparator schematic.
Figure 6. Dynamic comparator schematic.
Electronics 10 02856 g006
Figure 7. The layout of the differential CDAC array.
Figure 7. The layout of the differential CDAC array.
Electronics 10 02856 g007
Figure 8. Die photograph. (A) Voltage reference circuit. (B) Input buffer. (C) Dynamic comparator and timing-protection circuit. (D) CDAC array.
Figure 8. Die photograph. (A) Voltage reference circuit. (B) Input buffer. (C) Dynamic comparator and timing-protection circuit. (D) CDAC array.
Electronics 10 02856 g008
Figure 9. The test platform. (a) Schematic. (b) Chip test board.
Figure 9. The test platform. (a) Schematic. (b) Chip test board.
Electronics 10 02856 g009
Figure 10. Measured ADC spectrum with 1 MHz input at 100 MS/s.
Figure 10. Measured ADC spectrum with 1 MHz input at 100 MS/s.
Electronics 10 02856 g010
Figure 11. Measured SFDR and SNDR with respect to the input frequency at 100 MS/s.
Figure 11. Measured SFDR and SNDR with respect to the input frequency at 100 MS/s.
Electronics 10 02856 g011
Figure 12. Measured DNL and INL at 100 MS/s. (a) DNL. (b) INL.
Figure 12. Measured DNL and INL at 100 MS/s. (a) DNL. (b) INL.
Electronics 10 02856 g012
Table 1. Post-layout simulation of ENOB of the ADC with process, voltage, temperature (pvt) variations.
Table 1. Post-layout simulation of ENOB of the ADC with process, voltage, temperature (pvt) variations.
Temperature (°C)CornersENOB(bit)@0.8VENOB(bit)@0.9VENOB(bit)@1.0V
−40tt9.099.279.17
ff9.199.399.32
ss9.069.169.09
fnsp9.169.359.25
snfp9.089.229.14
27tt9.249.439.33
ff9.399.529.48
ss9.189.329.26
fnsp9.349.479.4
snfp9.199.369.31
125tt9.159.299.21
ff9.269.419.33
ss9.059.219.14
fnsp9.29.359.25
snfp9.129.269.16
Table 2. Performance summary and comparison of SAR ADCs.
Table 2. Performance summary and comparison of SAR ADCs.
[16]
TCAS-II
2020
[3]
TCAS-II
2020
[17]
JSSC
2019
[18]
ASICON
2019
This Work
ArchitectureSARSARPipe-SARSARSAR
Process (nm)6540282828
Supply (V)1.2111.050.9
Fs (MS/s)350120500250100
Resolution (bit)812101010
SNDR@Nyq. (dB)45.758.156.652.451.54
ENOB (bit)7.39.369.18.48.27
Power (mW)2.1 *1.963.231.1 *
FOM (fJ/c-s)38.124.121.838.235.6
DNL (LSB)+0.9/−0.6+0.96/−0.93 +0.48/−0.32 +0.96/−0.86+0.37/−0.44
INL (LSB)+0.7/−0.7+1.6/−1.08 +0.67/−0.61 +1.37/−1.02+0.48/−0.63
* The total power consumption, including voltage reference circuit. The measured DNL and INL after calibration.
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Tang, F.; Ma, Q.; Shu, Z.; Zheng, Y.; Bermak, A. A 28 nm CMOS 10 bit 100 MS/s Asynchronous SAR ADC with Low-Power Switching Procedure and Timing-Protection Scheme. Electronics 2021, 10, 2856. https://doi.org/10.3390/electronics10222856

AMA Style

Tang F, Ma Q, Shu Z, Zheng Y, Bermak A. A 28 nm CMOS 10 bit 100 MS/s Asynchronous SAR ADC with Low-Power Switching Procedure and Timing-Protection Scheme. Electronics. 2021; 10(22):2856. https://doi.org/10.3390/electronics10222856

Chicago/Turabian Style

Tang, Fang, Qiyun Ma, Zhou Shu, Yuanjin Zheng, and Amine Bermak. 2021. "A 28 nm CMOS 10 bit 100 MS/s Asynchronous SAR ADC with Low-Power Switching Procedure and Timing-Protection Scheme" Electronics 10, no. 22: 2856. https://doi.org/10.3390/electronics10222856

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop