Next Article in Journal
A Novel Monogenic Sobel Directional Pattern (MSDP) and Enhanced Bat Algorithm-Based Optimization (BAO) with Pearson Mutation (PM) for Facial Emotion Recognition
Previous Article in Journal
A Hybrid GPU and CPU Parallel Computing Method to Accelerate Millimeter-Wave Imaging
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A Calibration-Free Digital-to-Time Converter for Phase Interpolation-Based Fractional-N PLLs

1
Institute of Microelectronics of the Chinese Academy of Sciences, Beijing 100029, China
2
University of Chinese Academy of Sciences, Beijing 100049, China
*
Author to whom correspondence should be addressed.
Electronics 2023, 12(4), 841; https://doi.org/10.3390/electronics12040841
Submission received: 3 January 2023 / Revised: 4 February 2023 / Accepted: 6 February 2023 / Published: 7 February 2023
(This article belongs to the Section Microelectronics)

Abstract

:
In this paper, a fractional frequency division phase-locked loop based on phase interpolation is proposed and implemented using the TSMC 0.11 μ m CMOS process. Compared with the conventional phase-locked loop, a digital time converter (DTC) module is added to this phase-locked loop, and the DTC module can reduce the fractional spurious by phase interpolation. The circuit and analysis method of this DTC module are given in this paper. Unlike the existing approaches, the proposed DTC is calibration-free, and the error introduced by it is only related to the DAC adopted in the DTC. In addition, the accuracy of the DTC is 8 bits. Finally, this paper verifies the proposed quantization noise reduction technique using a 0.11 μ m CMOS process. The proposed FNPLL achieves the overall power consumption of 20.3 mW, the noise of 117 dBc/Hz@1 MHz and 138 dBc/Hz @ 10 MHz, and the RMS jitter of 0.860 ps. The area of the proposed FDIV is 60 × 245 μ m 2 , and the power consumption is 1.356 mW. The phase noise of the proposed FNPLL in the fractional division mode is just 2 dB higher than that in the integer division mode.

1. Introduction

The fractional frequency division technique is widely used in the fractional-N phase-locked loop (FNPLL), which is used for clock generation [1], frequency synthesis [2], and clock and data recovery [3]. Most of the current PLLs are all-digital phase-locked loops (ADPLL) and charge pump phase-locked loops (CPPLL). An ADPLL is PVT-robust [4] and has easy-to-use algorithms [5] to improve the performance of the ADPLL. In addition, the area of the ADPLL is small, but the phase noise performance of the ADPLL is relatively poor. The area of the CPPLL is generally larger, especially the CPPLL with an LC-VCO, in which the inductor will occupy a large area, but the phase noise performance of this structure is better, and the operating frequency can be higher [6]. Both types of phase-locked loops require the delta-sigma modulator (DSM), which is used to control the frequency division ratio in the PLL in order to achieve the fractional frequency division. However, the DSM will introduce quantization noise and fractional spurious, which will significantly degrade the PLL output phase noise.
There are many studies on the reduction in noise introduced by the DSM. One of the methods is changing the structure of the DSM [2,7,8,9,10,11]. Considering the quantization noise suppression capability and circuit stability issues, a third-order DSM is generally used. The second method is compensation. When the initial value and structure of the DSM are determined, the quantization error it produces can be calculated so the error can be compensated [12,13,14,15,16,17,18,19,20]. However, the feedforward compensation paths in the existing methods are generally long, so the effect of the compensation is affected by a circuit mismatch and requires additional auto-calibration circuits for the correction [16,17,18], further increasing the complexity of the circuit. The third way is the phase interpolation, which is actually one of the compensation ways, but this way does not involve the charge pump of the PLLs. The phase interpolation technique is used in [11,21,22,23,24,25,26], and the implementations of these circuits are different. However, all of those existing methods require modifications to other blocks in the PLL and require additional functional blocks, which makes the circuit more complicated. In addition, calibration is necessary in those methods because of the nonidealities in the proposed phase interpolation circuits.
In this paper, a DTC module based on the phase interpolation technique is added to the PLL; there are three innovations in the proposed DTC compared with the existing methods based on the phase interpolation method. Firstly, the DTC is placed almost as an independent module between the conventional fractional divider and the frequency discriminator, and no modifications to other modules in the phase-locked loop are required. Secondly, this divider is simpler in structure as it does not require additional calibration circuits compared to the existing approaches. Thirdly, this DTC has a higher accuracy of the phase interpolation.
Before analyzing the DTC proposed in this paper, the error introduced by the fractional divider and the principle of reducing this error by phase interpolation are analyzed in Section 2. The proposed DTC and the blocks adopted in the fractional divider are introduced in Section 3. The measurement results of the FNPLL and the comparison with prior arts are presented in Section 4.

2. Overview

2.1. Phase Noise Introduced by DSM

To achieve fractional frequency division, a digital DSM is used to control the frequency division ratio in the PLL. The instantaneous division ratio is the sum of a base integer, N B , and the integer output of the DSM, n Q ( t ) , so the average fractional division ratio is:
N = N B + n Q ( t ) ¯
where n Q ( t ) ¯ is the average output of DSM, and
n Q ( t ) ¯ = k M
where k is the input number to the DSM, and M is the modulus used in the SDM. When the PLL reaches the steady state, its output frequency is:
f o u t = N · f r e f = ( N B + k M ) · f r e f
and the frequency resolution would be:
f = f r e f M
Therefore, there is an error in each division, which can be expressed as
q ( t ) = n Q ( t ) n Q ( t ) ¯
The power spectrum density (PSD) of q ( t ) is the introduced quantization noise, which is related to the structure of the DSM, the initial value, the input, and the DSM bit width. In addition, there is a periodicity in the output sequence of the DSM that introduces fractional spurious, which can be solved by adding dithering at the input of DSM [27]. The quantization noise is randomized by default in all subsequent analyses in this paper. According to the conclusions in [28], phase noise generated by the fractional divider (FDIV) is:
S θ d i v ( f ) = [ f f r e f · Q ( f ) f · N ] 2
where Q ( f ) is the rms spectral density of the DSM-shaped quantization noise:
Q ( f ) = 1 12 f r e f H N T F ( z ) z = e j 2 π f / f r e f
where H N T F ( z ) is the noise transfer function (NTF) of DSM. The phase noise introduced by the quantization noise of the DSM can be expressed as
S θ o u t = 10 l o g { f r e f 12 ( N f ) 2 · | H N T F ( e j 2 π f / f r e f ) | 2 · | H c l ( f ) | 2 } dBc / Hz
where H c l ( f ) is the closed-loop input-to-output phase noise transfer function of PLL.

2.2. Principles of Phase Interpolation

The division ratio of the conventional fractional divider varies, and when the division ratio is set to (2+1/4), its output waveform is shown in Figure 1 (the effect of dithering is not considered here to illustrate the idea). The phase difference between this output waveform and the ideal waveform can be calculated with the quantization error E of the DSM, so the phase of the output signal of the conventional FDIV can be adjusted according to the phase error to obtain the ideal output. Theoretically, the quantization noise introduced by the DSM can be completely eliminated as long as the accuracy of phase interpolation is sufficiently high. However, due to the accuracy and nonidealities of the circuits, there will still be quantization noise, which will be introduced in Section 3. The minimal step of phase adjustment achieved by the phase interpolation circuit is called the phase interpolation accuracy, e.g., T / 256 , where T is the period of PLL output.
One of the implementations based on phase interpolation is shown in Figure 2. The VCO generates multiple clock signals with a fixed phase difference and then phase select block selects the signal according to the phase error. The output phase noise E of PLL is reduced with the structure, but the circuit is complex and the accuracy is not high enough, for example, only 16 outputs of VCO with fixed phase difference of π / 8 are realized in [27], which means the phase interpolation accuracy is only T/16. In addition, a MUX array is needed to select the signals, which further increases the complexity, area, and power of the circuit. In addition, additional phase select block is required if the VCO can not generate multiple clocks itself, such as LC-VCO.
In addition to above structure, other implementations were proposed, such as the vector divider structure proposed in [9] by increasing the number of dividers, phase frequency detectors (PFD), and charge pumps (CP) exponentially, where each group of dividers, PFDs, and CPs has the same structure and function as those in the traditional PLL. When it works, each group has a different divider ratio N[m,n], where m is the number of divider and n is time. Each divider has a different division ratio, and the average of them is N + α :
1 M m = 1 M N ( m , n ) = N + α
where α is the fractional frequency division ratio and M is the number of divider, PFD, and CP. This design moves the spurious introduced by the FDIV to higher frequency and filters it out by the low-pass characteristics of the PLL. However, the cost of area and power consumption is considerable for this circuit. Moreover, as multiple groups of dividers, PFDs, and CPs are used, mismatches between devices can seriously affect the performance of the circuit.
The FDIV proposed in this paper is based on the phase interpolation technique, but unlike the above approaches, the method proposed in this paper is completed inside the FDIV and the modifications to other blocks in the FNPLL are not required. In addition, the proposed FNPLL achieves the phase interpolation accuracy of T / 256 .

3. Quantization Noise Reduction Technique

The structure of the PLL proposed in this paper is shown in Figure 3, where a DTC block is added to the conventional divider, and the other blocks in the PLL remain unchanged. In this fractional divider, y[n] takes the value of 0 or 1, so the waveform of the multi-modulus divider output signal is similar to that of traditional waveform shown in Figure 1, and there is phase error. When the DSM structure is determined, the error is known, so the DTC can eliminate the phase error by delaying the edges of the FLAG signal by different times according to the error ( E [ 7 : 0 ] ).

3.1. Δ Σ M o d u l a t o r

Shown in Figure 4 is the DSM used in the proposed FDIV. Conceptually, the input clock frequency is divided by I n t + M N . The phase error is computed by accumulating the fractional period of the input clock using the DSM. The accumulator includes storage element (D) and summer. The quantizer (Q) generates Q O U T = 1 when Q i n > = N , else Q O U T = 0 . The summing circuit subtracts N, whenever Q O U T = 1 . Summing circuit increases the divider control signal by one whenever the accumulated phase error is greater than a period of the input clock. In order for the phase adjust module to properly adjust the clock signal generated by divider, the phase error supplied on control line to DTC is quantized to 256 levels of a period of the input clock:
P E = m o d ( 256 E [ 7 : 0 ] N , 256 )
where E [ 7 : 0 ] is the output of the accumulator. The dithering is added to randomize the output of DSM, which can reduce the fractional spur.

3.2. Multi-Modulus Divider

The multi-modulus divider used in the proposed FDIV is shown in Figure 5. It is implemented with nine /2 dividers in series. The outputs of the dividers change at the rising edge of the input signal C l k _ i . When the S e t signal is enabled, the count value of the divider is set to d a t a [ 7 : 0 ] . The additional NMOS transistors are connected at the outputs of each /2 divider. At the rising edge of the input clock signal ( c l k _ i n ), the counter decreases by one, and when the value decreases to zero, the FLAG is dragged to low level, then logic circuits will make set signal enabled, the initial value is reloaded, and the FLAG is dragged to high level, resulting in a low-level pulse with a pulse width of one clock cycle on FLAG.

3.3. Digital-to-Time Converter

The structure of proposed DTC is shown in Figure 6. The DTC consists of a control signal generator, a DAC controller, and a delay cell. Control signal generator generates a series of control signals when FLAG signal comes and the waveforms of the signals are shown in Figure 6, where T in period of input clock. The DAC controller generates the DAC input C [ 7 : 0 ] according to E [ 7 : 0 ] , which is the phase error provided by the DSM. If E [ n ] is high, C [ n ] will generate a low-level pulse with pulse width of T; otherwise, C [ n ] will generate a low-level pulse with pulse width of 2T. The DAC output transistors will be turned off if the corresponding signal C [ n ] is 0. The delay cell generates a delay with the range from 0 to T and an accuracy of T / 2 8 according to E [ 7 : 0 ] .
Figure 7 shows the waveforms of signals when the delay cell is working. From 0 to T, the multi-modulus divider counts to 0 and generates the FLAG signal. Control signal generator generates A1, A2, , A5 according to the FLAG. From 2T to 3T, MP4 is turned on and the capacitor is discharged while the DAC is turned off. From 3T to 4T, the output current of the DAC is E [ 7 : 0 ] · I 0 , where I 0 is the output current corresponding to the lowest bit of DAC. After 4T, the DAC output current is 256 · I 0 , which continues to charge the capacitor. When V s i g = V b V t h , n , MN2 conducts, V M = V s i g , and A3 is pulled high. At this time, MN1 is diode-connected, so V s i g is stable, and the delay is completed.
The simulation results of the signals in DTC are given in Figure 8. The simulation results are in accordance with the above theoretical analysis.
According to the analysis, the charging time t1 corresponding to the quantization error of E [ 7 : 0 ] should be:
C ( V b V t h , n ) = E [ 7 : 0 ] · I 0 · T + I 0 · t 1
The charging time corresponding to a delay time of t2 for E [ 7 : 0 ] = 0 is:
C ( V b V t h , n ) = I 0 · t 2
The difference between t 1 and t 2 is:
Δ t = ( t 2 t 1 ) = E [ 7 : 0 ] 256 · T
It can be seen that the delay time is only related to the error E [ 7 : 0 ] and the input signal period T. It is independent of the capacitance of the capacitor and the reference current of the DAC. Therefore, the delay time of this DTC module is less affected by PVT. In addition, the DTC is calibration-free.
There is another problem that needs to be considered. If the bit width of the DSM is 8 bits, then the FDIV will not introduce quantization noise with an 8-bits DAC, and only the noise introduced by the nonidealities of the DAC needs to be considered. However, according to Equation (4), the bit width of DSM is generally larger than 8 bits to achieve better frequency resolution, in which case the proposed FDIV will still introduce quantization noise. Fortunately, because of the DTC block, the magnitude of the quantization error is reduced by a factor of M = 2 b D A C compared to conventional divider, where b D A C is the bits width of DAC. According to Equation (8), the phase noise introduced by the FDIV in the PLL output can be expressed as:
S Q N ( f ) = 1 M 2 π 2 T r e f 3 N 2 H c l ( f ) 2 2 s i n ( π f f r e f ) 2 ( L 1 )

3.4. DAC Nonidealities

The 8-bits DAC adopted in the DTC block is shown in Figure 9. The cascode structure is used to increase the output resistance and make the DAC output characteristics more ideal. In order to avoid the fluctuation of output current when the output transistors’ DAC is switched on and off, the structure is adopted, in which the current source is always turned on regardless of the value of control signal.
The quantization noise reduction ability of the proposed FDIV is degraded by the nonidealities of DAC. First, the mismatch between the components of the DAC, which is caused by the PVT, introduced considerable noise. According to the adopted DSM shown in Figure 4, it can be seen that the noise introduced by the mismatch of DAC components and the quantization noise of DSM are both added at the same point, so the noise transfer function (NTF) is same for the both. According to conclusion in [9] and Equation (14), the PLL output phase noise introduced by the DAC mismatch can be expressed as
S M N ( f ) = 1 M π 2 σ 2 T r e f 3 N 2 H c l ( f ) 2 2 s i n ( π f f r e f ) 2 ( L 1 )
where σ is the standard deviation of DAC output current. Because an 8-bits DAC is used in the proposed FDIV, so M = 256. Comparing Equations (14) and (15), it can be seen that when σ is smaller than M 0.5 = 6.25 % , the phase noise caused by DAC mismatch is smaller than the phase noise caused by DAC accuracy. The Monte Carlo simulation results of DAC output current are shown in Table 1. It can be seen that the σ is less than 1.11% for all outputs.
The simulation results of the output frequency of the proposed divider are shown in Figure 10. When the DTC is turned on, the frequency fluctuation at the SS process corner is the largest, 0.164 MHz, and when the DTC is not turned on, the frequency fluctuation at the TT process corner is 4.767 MHz. The DTC effectively reduces the fluctuation of the output frequency of the fractional divider.
The quantization noise reduction capability of the FDIV is also related to the bit width of the DAC. The effective number of bits of the DAC directly affects the quantization noise rejection capability of the FDIV, and the table shows the simulation results of the output currents corresponding to the different inputs of the DAC in the DTC, based on which the integral nonlinearity (INL) of the DAC is calculated as following:
I N L = d a t a · A L S B I A L S B 0.1
The phase noise introduced by the INL of the DAC is negligible compared to that introduced by mismatch.

3.5. Phase Noise of the Proposed FNPLL

Up to this point, this paper has analyzed the principle of the proposed quantization noise reduction technique and the effects of the DAC nonidealities on the output phase noise of the PLL. Based on that, this paper compares the effects of different FDIV structures on the output phase noise of the proposed FNPLL, and the simulation results of the contribution of each block to the output phase noise of the FNPLL are shown in Figure 11. It can be seen that the phase noise within the whole frequency band is suppressed significantly compared to the FDIV with a first-order DSM, and the phase noise around the 1 MHz frequency offset is suppressed significantly compared to the FDIV with the third-order DSM. In the case of similar output phase noise, the phase noise suppression technique proposed in this paper can achieve a better bandwidth.

4. Measurements Results

In this paper, the proposed quantization noise reduction technique is implemented using the 0.11 μ m CMOS process. The photograph of the proposed FNPLL is shown in Figure 12 and the testbench is shown in Figure 13. The chip has a DC supply voltage of 1.8 V and a core area of 1.49 mm 2 , of which the FDIV has an area of 6660 μ m 2 . The total power consumption of the chip is 20.3 mW, and the power consumption of a single FDIV is 1.356 mW. In addition, the reference frequency is 25 MHz, the FNPLL achieves the phase noise of −117 dBc/Hz @ 1 MHz and 138 dBc/Hz @ 10 MHz, the RMS jitter of 0.860 ps, and a bandwidth of 1.65 MHz.
As shown in Figure 14, the phase noise of the proposed FNPLL under the integer division mode (N = 100) and the fractional division mode (N = 100.105) are tested with an on-chip LPF under the same configuration. The center frequency is close to 2.5 GHz. Around the 1 MHz frequency offset, the difference between the phase noise of the proposed FNPLL in the integer division mode and fractional division mode is about 2 dB. The spectrum of the proposed FNPLL with the phase interpolation on and off is shown in Figure 15 and Figure 16. The proposed FNPLL has no significant fractional spurious (<67 dBc) with the phase interpolation on, while the fractional spurious is about −63 dBc with the phase interpolation off.
In order to find out the effect of the temperature on the proposed PLL, the output spectrum of the PLL is also tested at different temperatures. As shown in Figure 17, the result of 32.9 C is measured immediately after the chip is powered on, and the other is measured after the chip has been running for 10 min, of which the temperature is 67.3 C. It can be seen that the white noise in the output spectrum of the proposed PLL increases after the chip temperature increases, but the fractional spurious and reference spurious are still not obvious, indicating that the PLL proposed in this paper can reduce the fractional spurs even at a high operating temperature. This shows that the PLL proposed in this paper can achieve good compensation at higher operating temperatures. However, we could not precisely control the temperature because of the lack of a temperature chamber. More interesting findings might be obtained by testing at more specific temperature points.
The comparison with the prior arts are listed in Table 2, and the comparison shows that the proposed FNPLL has a better output phase noise as well as signal jitter, and the power consumption is acceptable. The comprehensive performance of the proposed FNPLL is better in terms of the figure of merit (FoM). More importantly, the proposed FNPLL has a phase interpolation accuracy of T/256, which is the highest accuracy among the compared papers and can better suppress the quantization noise of the DSM.

5. Conclusions

In this paper, a calibration-free DTC for an interpolation-based FNPLL is proposed and implemented using the 0.11 μ m CMOS process. The theoretical analysis of the circuit is presented, and the simulation and measurement results show that the fractional spurs introduced by the DSM are reduced compared to the traditional PLL. The phase interpolation has a high accuracy of T / 256 . The proposed DTC is calibration-free and the phase interpolation is completed within the proposed FDIV, so it can be easily applied to the different structure of the PLL. The proposed FNPLL achieves a phase noise of −117 dBc/Hz @ 1 MHz and −138 dBc/Hz @ 10 MHz with the bandwidth of 1.65 MHz at 2.5 GHz and a power consumption of 20.3 mW. The RMS jitter is 0.860 ps and the fractional spur of the proposed PLL is less than −69 dBc with the DTC ON.

Author Contributions

Conceptualization, W.L., Q.L. and Y.G.; methodology, W.L. and Q.L.; validation, Y.G.; formal analysis, W.L.; investigation, W.L.; data curation, W.L. and Q.L.; writing—original draft preparation, W.L.; writing—review and editing, Q.L. and Y.G.; project administration, Y.G. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

No new data were created or analyzed in this study. Data sharing is not applicable to this paper.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Maneatis, J.; Kim, J.; McClatchie, I.; Maxey, J.; Shankaradas, M. Self-biased high-bandwidth low-jitter 1-to-4096 multiplier clock generator PLL. IEEE J. Solid-State Circuits 2003, 38, 1795–1803. [Google Scholar] [CrossRef]
  2. Kong, L.; Razavi, B. A 2.4-GHz 6.4-mW Fractional-N Inductorless RF Synthesizer. IEEE J. Solid-State Circuits 2017, 52, 2117–2127. [Google Scholar] [CrossRef]
  3. Zhang, H.; Du, X.; Zhang, Y.; Gong, L.; Cheng, J. A low-jitter third-order self-biased PLL with adaptive fast-locking scheme for SerDes interfaces. Analog. Integr. Circuits Signal Process. 2015, 85, 311–321. [Google Scholar] [CrossRef]
  4. ur Rahman, F.; Taylor, G.; Sathe, V. A 1–2 GHz Computational-Locking ADPLL With Sub-20-Cycle Locktime Across PVT Variation. IEEE J. Solid-State Circuits 2019, 54, 2487–2500. [Google Scholar] [CrossRef]
  5. Robles, R.A.; Harada, T. A Low Power 0.6V Filter-less AD-PLL with a Fast Locking Algorithm in the Subthreshold Region. In Proceedings of the 2021 International Symposium on Intelligent Signal Processing and Communication Systems (ISPACS), Hualien City, Taiwan, 16–19 November 2021; pp. 1–2. [Google Scholar] [CrossRef]
  6. Dolt, D.; Livingston, Q.; Liu, T.; Kumar, A.; Palermo, S. SEE Sensitivity of a 16GHz LC-Tank VCO in a 22nm FinFET Technology. In Proceedings of the 2022 IEEE International Symposium on Circuits and Systems (ISCAS), Austin, TX, USA, 27 May–1 June 2022; pp. 254–257. [Google Scholar] [CrossRef]
  7. Park, P.; Park, D.; Cho, S. A 2.4 GHz Fractional-N Frequency Synthesizer With High-OSR ΔΣ Modulator and Nested PLL. IEEE J. Solid-State Circuits 2012, 47, 2433–2443. [Google Scholar] [CrossRef]
  8. Telli, A.; Kale, I. A range of allowable number of input bits for tone free delta-sigma operation in digital MASH Delta-Sigma Fractional-N frequency synthesizers. In Proceedings of the 2012 IEEE Asia Pacific Conference on Circuits and Systems, Kaohsiung, Taiwan, 2–5 December 2012; pp. 587–590. [Google Scholar] [CrossRef]
  9. Zhang, Y.; Sanyal, A.; Yu, X.; Quan, X.; Wen, K.; Tang, X.; Jin, G.; Geng, L.; Sun, N. A Fractional-N PLL with Space-Time Averaging for Quantization Noise Reduction. IEEE J. Solid-State Circuits 2020, 55, 602–614. [Google Scholar] [CrossRef]
  10. Zanuso, M.; Levantino, S.; Samori, C.; Lacaita, A.L. A Wideband 3.6 GHz Digital ΔΣ Fractional-N PLL With Phase Interpolation Divider and Digital Spur Cancellation. IEEE J. Solid-State Circuits 2011, 46, 627–638. [Google Scholar] [CrossRef]
  11. Su, P.-E.; Pamarti, S. Fractional-N Phase-Locked-Loop-Based Frequency Synthesis: A Tutorial. IEEE Trans. Circuits Syst. II Express Briefs 2009, 56, 881–885. [Google Scholar] [CrossRef]
  12. Swaminathan, A.; Wang, K.J.; Galton, I. A Wide-Bandwidth 2.4GHz ISM-Band Fractional-N PLL with Adaptive Phase-Noise Cancellation. IEEE J. Solid-State Circuits 2007, 42, 2639–2650. [Google Scholar] [CrossRef]
  13. Yin, X.; Xiao, S.; Jin, Y.; Wu, Q.; Ma, C.; Ye, T. A constant loop bandwidth fractional- N frequency synthesizer for GNSS receivers. J. Semicond. 2012, 33, 045007. [Google Scholar] [CrossRef]
  14. Rhee, W.; Xu, N.; Zhou, B.; Wang, Z. Fractional-N Frequency Synthesis: Overview and Practical Aspects with FIR-Embedded Design. JSTS J. Semicond. Technol. Sci. 2013, 13, 170–183. [Google Scholar] [CrossRef]
  15. Zhao, F.; Dai, F.F. Low-Noise Low-Power Design for Phase-Locked Loops; Springer: Cham, Switzerland, 2015; pp. 1–96. [Google Scholar] [CrossRef]
  16. Nandwana, R.K.; Anand, T.; Saxena, S.; Kim, S.J.; Talegaonkar, M.; Elkholy, A.; Choi, W.S.; Elshazly, A.; Hanumolu, P.K. A Calibration-Free Fractional-N Ring PLL Using Hybrid Phase/Current-Mode Phase Interpolation Method. IEEE J. Solid-State Circuits 2015, 50, 882–895. [Google Scholar] [CrossRef]
  17. Zhang, Y.; Mueller, J.H.; Mohr, B.; Liao, L.; Atac, A.; Wunderlich, R.; Heinen, S. A Multi-Frequency Multi-Standard Wideband Fractional- PLL With Adaptive Phase-Noise Cancellation for Low-Power Short-Range Standards. IEEE Trans. Microw. Theory Tech. 2016, 64, 1133–1142. [Google Scholar] [CrossRef]
  18. Hati, M.K.; Bhattacharyya, T.K. A constant loop bandwidth in delta sigma fractional-N PLL frequency synthesizer with phase noise cancellation. Integration 2019, 65, 175–188. [Google Scholar] [CrossRef]
  19. Hsu, C.M.; Straayer, M.Z.; Perrott, M.H. A Low-Noise Wide-BW 3.6-GHz Digital ΔΣ Fractional-N Frequency Synthesizer With a Noise-Shaping Time-to-Digital Converter and Quantization Noise Cancellation. IEEE J. Solid-State Circuits 2008, 43, 2776–2786. [Google Scholar] [CrossRef]
  20. Jian, H.Y.; Xu, Z.; Wu, Y.C.; Chang, M.C.F. A Fractional- N PLL for Multiband (0.8–6 GHz) Communications Using Binary-Weighted D/A Differentiator and Offset-Frequency Δ-Σ Modulator. IEEE J. Solid-State Circuits 2010, 45, 768–780. [Google Scholar] [CrossRef]
  21. Yang, C.-Y.; Chang, C.-H.; Wong, W.-G. A Δ-Σ PLL-Based Spread-Spectrum Clock Generator With a Ditherless Fractional Topology. IEEE Trans. Circuits Syst. I Regul. Pap. 2009, 56, 51–59. [Google Scholar] [CrossRef]
  22. Huang, C.W.; Gui, P.; Fan, Y.; Morgan, M. An instant-switching Δ-Σ fractional-N frequency synthesizer with adjustable duty cycles. Analog. Integr. Circuits Signal Process. 2012, 72, 89–95. [Google Scholar] [CrossRef]
  23. Xiu, L.; Lin, W.T.; Lee, T.T. Flying-Adder Fractional Divider Based Integer-N PLL: 2nd Generation FAPLL as On-Chip Frequency Generator for SoC. IEEE J. Solid-State Circuits 2013, 48, 441–455. [Google Scholar] [CrossRef]
  24. Xiu, L.; Chen, P.L. A Reconfigurable TAF-DPS Frequency Synthesizer on FPGA Achieving 2 ppb Frequency Granularity and Two-Cycle Switching Speed. IEEE Trans. Ind. Electron. 2017, 64, 1233–1240. [Google Scholar] [CrossRef]
  25. Liang, Z.; Yi, X.; Yang, K.; Boon, C.C. A 2.6–3.4 GHz Fractional- N Sub-Sampling Phase-Locked Loop Using a Calibration-Free Phase-Switching-Sub-Sampling Technique. IEEE Microw. Wirel. Components Lett. 2018, 28, 147–149. [Google Scholar] [CrossRef]
  26. Gammoh, K.; Peterson, C.K.; Penry, D.A.; Wood, S.H.C. Linearity Theory of Stochastic Phase-Interpolation Time-to-Digital Converter. IEEE Trans. Circuits Syst. I Regul. Pap. 2020, 67, 4348–4359. [Google Scholar] [CrossRef]
  27. Pamarti, S.; Delshadpour, S. A Spur Elimination Technique for Phase Interpolation-Based Fractional-N PLLs. IEEE Trans. Circuits Syst. I Regul. Pap. 2008, 55, 1639–1647. [Google Scholar] [CrossRef]
  28. Riley, T.; Copeland, M.; Kwasniewski, T. Delta-sigma modulation in fractional-N frequency synthesis. IEEE J. Solid-State Circuits 1993, 28, 553–559. [Google Scholar] [CrossRef]
  29. Jee, D.W.; Seo, Y.H.; Park, H.J.; Sim, J.Y. A 2 GHz Fractional-N Digital PLL with 1b Noise Shaping ΔΣ TDC. IEEE J. Solid-State Circuits 2012, 47, 875–883. [Google Scholar] [CrossRef]
  30. Jee, D.W.; Suh, Y.; Kim, B.; Park, H.J.; Sim, J.Y. A FIR-Embedded Phase Interpolator Based Noise Filtering for Wide-Bandwidth Fractional-N PLL. IEEE J. Solid-State Circuits 2013, 48, 2795–2804. [Google Scholar] [CrossRef]
  31. Elkholy, A.; Saxena, S.; Nandwana, R.K.; Elshazly, A.; Hanumolu, P.K. A 2.0–5.5 GHz Wide Bandwidth Ring-Based Digital Fractional-N PLL With Extended Range Multi-Modulus Divider. IEEE J. Solid-State Circuits 2016, 51, 1771–1784. [Google Scholar] [CrossRef]
  32. Kao, T.K.; Liang, C.F.; Chiu, H.H.; Ashburn, M. A wideband fractional-N ring PLL with fractional-spur suppression using spectrally shaped segmentation. In Proceedings of the 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, CA, USA, 17–21 February 2013; Volume 56, pp. 416–417. [Google Scholar] [CrossRef]
Figure 1. The idea of phase interpolation.
Figure 1. The idea of phase interpolation.
Electronics 12 00841 g001
Figure 2. Basic principle of phase interpolation.
Figure 2. Basic principle of phase interpolation.
Electronics 12 00841 g002
Figure 3. Diagram of the proposed PI fractional divider.
Figure 3. Diagram of the proposed PI fractional divider.
Electronics 12 00841 g003
Figure 4. Diagram of the DSM.
Figure 4. Diagram of the DSM.
Electronics 12 00841 g004
Figure 5. The structure of the multi-modulus divider.
Figure 5. The structure of the multi-modulus divider.
Electronics 12 00841 g005
Figure 6. Diagram of the proposed DTC block.
Figure 6. Diagram of the proposed DTC block.
Electronics 12 00841 g006
Figure 7. Waveform of the signals in proposed DTC.
Figure 7. Waveform of the signals in proposed DTC.
Electronics 12 00841 g007
Figure 8. Simulation results of the signals in DTC.
Figure 8. Simulation results of the signals in DTC.
Electronics 12 00841 g008
Figure 9. Circuit of the DAC adopted in the DTC.
Figure 9. Circuit of the DAC adopted in the DTC.
Electronics 12 00841 g009
Figure 10. Output frequency of fractional divider.
Figure 10. Output frequency of fractional divider.
Electronics 12 00841 g010
Figure 11. Output phase noise of the PI FNPLL.
Figure 11. Output phase noise of the PI FNPLL.
Electronics 12 00841 g011
Figure 12. Photograph of proposed FNPLL.
Figure 12. Photograph of proposed FNPLL.
Electronics 12 00841 g012
Figure 13. Testbench of proposed FNPLL.
Figure 13. Testbench of proposed FNPLL.
Electronics 12 00841 g013
Figure 14. Measured results of phase noise.
Figure 14. Measured results of phase noise.
Electronics 12 00841 g014
Figure 15. Spectrum of proposed FNPLL with phase interpolation on.
Figure 15. Spectrum of proposed FNPLL with phase interpolation on.
Electronics 12 00841 g015
Figure 16. Spectrum of proposed FNPLL with phase interpolation off.
Figure 16. Spectrum of proposed FNPLL with phase interpolation off.
Electronics 12 00841 g016
Figure 17. Spectrum of proposed FNPLL at different temperatures.
Figure 17. Spectrum of proposed FNPLL at different temperatures.
Electronics 12 00841 g017
Table 1. Monte Carlo Simulation Results of the DAC Output Currents.
Table 1. Monte Carlo Simulation Results of the DAC Output Currents.
Input CodeMin(A)Max(A)Mean(A)Std Dev(A)
0000_0001535.7 n563.8 n549.0 n5.006 n (0.91%)
0000_00101.038 u1.096 u1.066 u10.29 n (0.97%)
0000_01002.001 u2.127 u2.060 u22.80 n (0.91%)
0000_10003.997 u4.248 u4.114 u45.35 n (1.11%)
0001_00008.000 u8.491 u8.228 u88.92 n (1.08%)
0010_000016.00 u16.98 u16.46 u177.8 n (1.08%)
0100_000032.00 u33.96 u32.91 u355.7 n (1.08%)
1000_000064.00 u67.93 u65.82 u711.4 n (1.08%)
Table 2. Summary and Comparison.
Table 2. Summary and Comparison.
This Work[9][16][29][30][31][32]
Quan. Noise Elimination Tech.DTC PI16-channel STAHybird-P/C PINew DSMFIR-embeded PIDTC cancelSegmented PI
Accuracy of PI T V C O / 256 T V C O / 16 T V C O / 4 N/A T V C O / 16 T V C O / 256 T V C O / 16
Technology (nm)11040651301306540
Output Frequency (GHz)2.2–2.81.67–3.124.25–4.75212.0–5.51.87–1.98
Reference Frequency (MHz)25505064325026
Bandwidth (MHz)1.652.761213.252
Phase Noise (dBc/Hz @ 1 MHz)−117−100−104−107 @ 500 kHz−106 @ 100 kHz−97−98
Phase Noise (dBc/Hz @ 10 MHz)−138−114N/A−118 @ 3 MHz−107.5 @ 6 MHzN/A−115
RMS Jitter (ps) (Integ. Range (MHz))0.860 (0.001–50)2.26 (0.001–100)1.50 (0.004–40)N/AN/A1.86 (0.01–100)3.10 (0.004–40)
Supply Voltage (V)1.8N/A11.1–1.3N/A0.92.5 and 1.2
Core Area (mm 2 )1.490.0860.480.350.310.0840.055
Core Power (mW)20.34.8511.62116.8410
Area of PI Circuits 1 (um 2 )666017122N/AN/A87500400020900
Power of PI Circuits (mW)1.3561.292.552N/AN/AN/AN/A
FOM 2−228.2−226.1−225.8N/AN/A−228.5−219.4
1 The area of the QN circuits in prior arts are measured from the die photography or layout. 2 FoM = 10 l g [ ( J i t t e r r m s 1   s e c ) 2 ( P o w e r 1   m W ) ] .
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Liang, W.; Liu, Q.; Gan, Y. A Calibration-Free Digital-to-Time Converter for Phase Interpolation-Based Fractional-N PLLs. Electronics 2023, 12, 841. https://doi.org/10.3390/electronics12040841

AMA Style

Liang W, Liu Q, Gan Y. A Calibration-Free Digital-to-Time Converter for Phase Interpolation-Based Fractional-N PLLs. Electronics. 2023; 12(4):841. https://doi.org/10.3390/electronics12040841

Chicago/Turabian Style

Liang, Weishuang, Qi Liu, and Yebing Gan. 2023. "A Calibration-Free Digital-to-Time Converter for Phase Interpolation-Based Fractional-N PLLs" Electronics 12, no. 4: 841. https://doi.org/10.3390/electronics12040841

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop