Next Article in Journal
A Study on a Compact Double Layer Sub-GHz Reflectarray Design Suitable for Wireless Power Transfer
Previous Article in Journal
A Comparative Analysis of Machine Learning Algorithms for Identifying Cultural and Technological Groups in Archaeological Datasets through Clustering Analysis of Homogeneous Data
Previous Article in Special Issue
Tests of Fire Circuit Breakers (FCBs) to Assess Their Suitability for Use in Construction Objects
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A 3216 μm2 MOS-Based Temperature Sensor with a Wide Temperature Measurement Range and Linear Readout

1
Institute of VLSI Design, Zhejiang University, Hangzhou 310058, China
2
Zhuhai Pantum Electronics Corporation Ltd., Zhuhai 519000, China
*
Author to whom correspondence should be addressed.
Electronics 2024, 13(14), 2753; https://doi.org/10.3390/electronics13142753
Submission received: 19 May 2024 / Revised: 10 July 2024 / Accepted: 12 July 2024 / Published: 13 July 2024
(This article belongs to the Special Issue Analog and Mixed Circuit: Design and Applications)

Abstract

:
This paper introduces an MOS-based intelligent temperature sensor with a linear readout. Compared with similar designs, the proposed sensor utilizes the DIBL effect to reduce the precision requirement for the voltage reference source and compensate for the temperature measurement range. A compact voltage reference circuit is introduced, which generates two reference voltage bases using only three transistors. In addition, the proposed digital readout circuit does not require a subtractor or a divider, further saving area. Fabricated in a 55 nm CMOS process, the proposed sensor occupies a compact area of 3216 μ m 2 . Post-simulation results show it has a maximum error of −0.52/+0.28 °C within the temperature range of −20 °C to 120 °C after two-point calibration. The power supply voltage range of the sensor is 0.8 to 1.8 V. It has a maximum voltage sensitivity of 5.7 °C/V and its power consumption is only 166 nW, with a power supply voltage of 0.8 V.

1. Introduction

Intelligent temperature sensors have found widespread application in diverse fields, encompassing hot-spot detection in large-scale systems-on-chip (SoCs), biomedical devices [1,2] and Internet of Things (IoT) devices [3,4]. Conventionally, bipolar junction transistors (BJTs) or resistors have served as the temperature-sensing elements in these sensors. While offering high measurement precision, they often occupy a significant chip area and consume considerable power [5,6]. In contrast, intelligent temperature sensors employing metal-oxide semiconductors (MOSs) as temperature-sensing elements provide advantages in area and power consumption [7,8]. Furthermore, these sensors are more suitable for integration into digital circuits. These advantages make the MOS-based intelligent temperature sensors more popular in recent research.
MOS-based intelligent temperature sensors typically leverage the temperature-dependent characteristics of MOS sub-threshold current for accurate temperature measurement. Nevertheless, due to the exponential relationship between sub-threshold current and temperature, some temperature sensors necessitate the execution of logarithmic operations to determine temperature [9,10]. Implementing a logarithm operation unit in digital circuits requires excessive power consumption and area, contradicting the original design intent of MOS-based intelligent temperature sensors.
Some studies have indicated that, under specific conditions, a nearly linear relationship can be observed between sub-threshold current and temperature. This observation has motivated the development of temperature sensors capable of delivering linear readout values [11,12,13,14]. Nevertheless, such linearity is confined to a narrow temperature range, restricting its measurement capabilities. To achieve a linear relationship between sub-threshold current and temperature, it becomes imperative to design either a low-voltage reference voltage source or a low-voltage differential reference. The voltage of a reference source is typically designed within the range of several hundred millivolts or higher [15,16,17], while a reference with lower voltages increases circuit complexity.
In this paper, we propose an innovative linear readout MOS-based temperature sensor that, compared with prior research, does not necessitate the usage of a low-voltage reference voltage source and offers a broader temperature measurement range.

2. Operation Principle

The drain current of the MOS in the sub-threshold region can be expressed as:
I D = μ C o x W L ( n 1 ) V t 2 · e x p V G S V T H + λ V D S n V t
where μ is carrier mobility, C o x is sheet oxide capacitance density, W and L are the width and length of the MOS, V T H is the threshold voltage, λ is the drain-induced barrier-lowering (DIBL) effect coefficient, V D S is the drain-source voltage of the MOS, n is the sub-threshold slope, and V t is the thermal voltage given by:
V t = k T q
where k is the Boltzmann constant and q is the electron charge.
Assuming that two identical MOS transistors are interconnected in the configuration illustrated in Figure 1a, where each transistor possesses a distinct gate voltage but identical source-drain voltages, the ratio of their drain currents can be expressed, by (1), as follows:
I 1 I 2 = e x p ( Δ V G S n V t )
where Δ V G S = V G S 1 V G S 2 . Assuming that x = Δ V G S / ( n V t ), (3) can be expressed via the Taylor series expansion as:
I 1 I 2 = e x p ( x ) = 1 + x + x 2 2 !
When x approaches 0, that is, | Δ V G S | is much less than n V t , the higher-order terms of x can be neglected. (4) can be written as:
I 1 I 2 = e x p ( x ) 1 + x = 1 + Δ V G S n V t
After phase-shifting (5) and taking its reciprocal, presuming that V G S 2 > V G S 1 and I 2 > I 1 , we can conclude:
I 2 I 2 I 1 n V t Δ V G S = n k T q Δ V G S
Since Δ V G S is less than 0, the term I 2 / ( I 2 I 1 ) in (6) exhibits a proportional-to-absolute temperature (PTAT) characteristic. This relationship holds when | Δ V G S | is much smaller than n V t , where the value of it is approximately tens of mV. Figure 2a depicts the linear fit result of I 2 / ( I 2 I 1 ) at a Δ V G S of −15 mV simulated over a temperature range of −20 °C to 120 °C. The plot shows slight curvature. Figure 2b shows that the maximum temperature error, calculated according to the fit line, is 1.9 °C.
It is evident that the scheme of achieving the linear temperature readout using Δ V G S possesses two inherent disadvantages:
  • Obtaining a stable | Δ V G S | value below 20 mV is challenging.
  • The temperature measurement range is limited.
In light of these limitations, this paper proposes utilizing the DIBL effect to obtain an equivalent Δ V G S . As depicted in Figure 1b, the proposed design employs two identical MOSs, subject to the same V G S but different V D S . Consequently, their current ratios can be formulated according to (1):
I 1 I 2 = e x p ( λ Δ V D S n V t )
From this, we can derive a formula similar to that of expression (6):
I 2 I 2 I 1 n V t λ Δ V D S = n k T λ q Δ V D S
Given that the value of λ approximates 0.1 in the 55 nm process, this eliminates the necessity to maintain the differential reference voltage, Δ V D S , at a low level. Furthermore, the value of λ q / ( n k ) exhibits minimal variation with temperature, which can compensate for the non-linearity introduced by the higher-order terms in the Taylor expansion in (8). The simulation result shows the λ q / ( n k ) curve across different temperature points, as depicted in Figure 3a. Additionally, Figure 3b illustrates the linear fit image and Figure 3c is the error of I 2 / ( I 2 I 1 ) from −20 °C to 120 °C when Δ V D S is set at 200 mV. Simulation results reveal that this non-linear factor effectively compensates for the temperature error in Figure 2b, resulting in a reduced error of less than 0.3°C. Given that both (6) and (8) incorporate the term ( n k ) / q , and the temperature error in Figure 3c is significantly superior to that in Figure 2b, it is clear that this compensatory effect is induced by λ .

3. Circuit Implementation

The overall circuit diagram is shown in Figure 4. The voltage generator produces differential reference voltages V r e f 1 and V r e f 1 to provide bias voltage for the V D S of M 1 and M 2 via sub-threshold operation amplifiers, respectively. The V r e f 1 is also used to provide the V G S for M 1 and M 2 . The current-to-frequency converter (CFC) utilizes the structure from [11], allowing for the linear conversion of current into oscillation frequency. In the diagram, the drain currents of M 1 and M 2 are copied to CFC1 and CFC2 using current mirrors. F C L K 1 and F C L K 2 are output oscillation signals from CFC1 and CFC2, which are proportional to I 1 and I 2 . The digital controller accepts F C L K 1 and F C L K 2 , processes them to acquire I 2 / ( I 2 I 1 ) , and outputs this value via a shift register.
The circuit diagram of the voltage generator is presented in Figure 5a, generating two voltage references composed solely of three MOS transistors. Specifically, M t is a native NMOS, and M r 1 and M r 2 are two identical RVT NMOS transistors. This circuit is designed to generate two voltage references that exhibit low-temperature drift and minimal sensitivity to the supply voltage. The gate voltage of M t is lower than its source voltage, rendering M t in the sub-threshold region where its drain current is relatively small. Since the three MOSs depicted in Figure 5a share the same drain current, that is, I M t = I M r 1 = I M r 2 , M r 1 and M r 2 are also in the sub-threshold region. Consequently, their currents can be expressed using (1), yielding:
V r e f 1 = 1 2 ( n V t l n ( W M t / L M t W M r / L M r ) + V T H , M r V T H , M t ) = 1 2 V r e f 2
Given that the term in V r e f 1 containing V t possesses a PTAT characteristic, and the term containing V t h holds a CTAT (complementary to absolute temperature) characteristic [18], by tuning the aspect ratio of the MOS, V r e f 1 and V r e f 2 can be temperature-independent. After adjustment, the values of V r e f 1 and V r e f 2 are approximately 169 mV and 372 mV, respectively. Figure 5b presents the simulation result of V r e f 1 and V r e f 2 from 20 °C to 120 °C under a supply voltage of 0.8 V. They have varied by a maximum of 1.7 mV and 1.8 mV. The expressions of V r e f 1 and V r e f 2 are unrelated to VDD, manifesting exceptional power supply rejection traits. Figure 5c displays the variations of V r e f 1 and V r e f 2 at 25 °C when supplied with voltages ranging from 0.8 V to 1.8 V, showing a maximum shift of 5.5 mV and 11.9 mV respectively. Due to the low drain currents of M r 1 and M r 2 , both of them operate in the sub-threshold region, resulting in relatively low V G S , M r 1 and V G S , M r 2 values. This accounts for the low values of V r e f 1 and V r e f 2 .
CFC, composed of three-stage delay cells, functions as a ring oscillator, generating oscillation through these cells’ charge and discharge processes. As depicted in Figure 6, the structure of the delay cell consists of a comparator operating in the sub-threshold region and a charge control circuit. Taking a delay cell in CFC1 as an example, V i n is connected to V o u t of the previous stage. Assuming the voltage of V i n and node A is zero initially, M d 5 and M d 8 are off, and M d 6 is on, enabling the charging process, and V o u t is at a low level. M d 10 copies current from M 1 and charges C d 1 . When the voltage at node A is below V r e f 2 , node B remains low; after the voltage exceeds V v r e f 2 , node B and V v o u t toggle. M d 7 and M d 9 form a common-source amplifier, enhancing the slew rate at V o u t . Then, when the previous stage also toggles, V i n becomes high, turning off M d 6 and turning on M d 5 and M d 8 . These transistors discharge C d 1 and enable a rapid toggle of V o u t , thus completing a charge and discharge cycle. Consequently, the delay time of a single charge–discharge cycle in the delay cell can be expressed as:
t d = C d 1 V r e f 2 I 1
The CFC consists of three-stage delay cells, and its oscillation frequency can be written as:
F C L K 1 = 1 3 t d = I 1 3 C d 1 V r e f 2
Similarly, F C L K 2 can be expressed as:
F C L K 2 = I 2 3 C d 1 V r e f 2
Hence, the oscillation frequency of the CFC is proportional to the bias current, and the subsequent equation can be derived according to (8), (11), and (12):
F C L K 2 F C L K 2 F C L K 1 = 1 3 C d 1 V r e f 2 · n k T λ q Δ V D S
Based on (13), it can be concluded that F C L K 2 / ( F C L K 2 F C L K 1 ) exhibits a linear relationship with temperature.
To ensure minimal power consumption, M d 1 and M d 2 within the sub-threshold comparator are required to operate in the sub-threshold region. Furthermore, to decrease the comparator’s operating current, HVT MOS transistors are utilized for M d 1 and M d 2 . The magnitude of the reference voltage at the gate of M d 1 has a substantial influence on the power consumption of the sub-threshold comparator. Figure 7 depicts the average current consumption of the comparator as the reference voltage ranges from 300 mV to 600 mV, applying a supply voltage of 0.8 V. It is evident that, while the current consumption is extremely low at a reference voltage of 300 mV, it escalates significantly as the reference voltage increases. The proposed design employs V r e f 2 as the gate voltage for M d 1 , which is less than 400 mV, according to Figure 5. Once the voltage at node A reaches V r e f 2 , the comparator flips, resulting in a rapid discharge of C d 1 . Consequently, the voltage at node A does not exceed V r e f 2 , thereby ensuring that the delay cell operates within the sub-threshold region and sustains minimal power consumption.
The block diagram of the digital controller is depicted in Figure 8. The CLK1 frequency outputted by CFC1 is lower than the CLK2 frequency outputted by CFC2. CLK1 serves as the clock signal for a D-flip-flop (DFF), which toggles its output signal in each cycle. This signal passes through the clock synchronizer and undergoes edge detection in the CLK2 clock domain, where a rising edge or falling edge each signifies a single oscillation of CLK1.
There are two counters in the digital controller: CNT_DIFF and CNT2. The CNT2 counter records the oscillation times of CLK2. The CNT_DIFF counter, on the other hand, registers the frequency differences between the two oscillations. When counting is over, the value of CNT2 is processed by a shift register and output through DOUT.
Figure 9 illustrates the timing diagram representation when the ratio F C L K 1 : F C L K 2 is 1:4. CLK1 undergoes frequency division, clock synchronization, and edge detection, subsequently transforming into a pulse signal within the CLK2 clock domain. The counting process is initiated when the START signal is pulled high and a CLK1_SYNC pulse is detected. In each CLK2 cycle, CNT2 increments by one. Similarly, in a CLK2 cycle in the absence of a CLK1_SYNC pulse, CNT_DIFF increases by one. However, in the CLK2 cycle when a CLK1_SYNC pulse is received, CNT_DIFF ceases to count. At this juncture, the effective count is CNT_DIFF = CNT_DIFF + 1 − 1, a counting methodology that presents for the computation of F C L K 2 F C L K 1 . Upon reaching a count of 4096 by CNT_DIFF, the counting process concludes, triggering the STOP signal to be high and the value of CNT2 to be outputted.
In a clock synchronization circuit, the frequency of the sampling clock must be three times or more the frequency of the sampled signal to guarantee signal integrity. As the DFF in the digital controller functions as a frequency divider, it oscillates at half the frequency of CLK1. Consequently, to ensure proper synchronization in the clock synchronizer within the digital controller, the frequency of CLK2 must exceed one and a half times the frequency of CLK1. It means that I 2 / ( I 2 I 1 ) needs to be less than 3, and Figure 3b shows that I 2 / ( I 2 I 1 ) is always below 2.6. Thus, in the digital controller, the clock synchronizer can accurately synchronize the DFF output signal from the CLK1 clock domain to the CLK2 clock domain without distortion.
The digital controller exhibits the following advantages:
  • The value of CNT_DIFF is fixed at 4096 upon the completion of counting, thus F C L K 2 / ( F C L K 2 F C L K 1 ) can be represented as CNT2/4096. Therefore, it is only necessary to output the value of CNT2, which inherently exhibits PTAT characteristics. This approach eliminates the need for a digital divider.
  • The conventional approach to compute F C L K 2 / ( F C L K 2 F C L K 1 ) entails designing CNT1 and CNT2 to, respectively, represent F C L K 1 and F C L K 2 , followed by obtaining F C L K 2 F C L K 1 through CNT2-CNT1. However, the proposed circuit utilizes the logic of CNT_DIFF to present the value of F C L K 2 F C L K 1 , thereby eliminating the step of calculating CNT2-CNT1. This consequently reduces the area and power consumption required for the subtractor.

4. Simulation Results

The proposed sensor, designed using a 55 nm process, is depicted in the layout shown in Figure 10. The analog and digital parts only span areas of 1657 μ m 2 and 1559 μ m 2 , respectively.
Two hundred Monte Carlo analyses vs process variations were performed under a supply voltage of 0.8 V. Figure 11a and Figure 11b represent the output value of CNT2 and the temperature error after two-point calibration, respectively. The maximum error in the temperature range from −20 °C to 120 °C after two-point calibration in the Monte Carlo analysis is only −0.64/+0.57 °C.
Post-simulation of the circuit was conducted within five process corners: tt, ss, ff, snfp, and fnsp. Figure 12a shows CNT2 values from −20 °C to 120 °C, with a power supply voltage of 0.8 V. Since F C L K 2 / ( F C L K 2 F C L K 1 ) = C N T 2 / 4096 , F C L K 2 / ( F C L K 2 F C L K 1 ) is always below 3, this ensures that there is no distortion occurring in digital sampling. Figure 12b represents the temperature error after two-point calibration for results in Figure 12a. The maximum temperature error recorded is −0.52/+0.28 °C.
In the proposed design, the V D S of M 1 and M 2 is supplied by V r e f 1 and V r e f 2 , and the temperature output value is the ratio of I 2 to I 2 I 1 . It endows the temperature sensor with a high-voltage suppression characteristic. Figure 12c displays the temperature inaccuracy at power supply voltages of 0.8 V, 1.2 V, 1.5 V, and 1.8 V at 20 °C. The maximum supply sensitivity can be calculated from Figure 12c as 5.7 °C/V.
Owing to most of the transistors in the analog part of the circuit operating in the sub-threshold region, and the clock frequency of the digital circuit being relatively low, the proposed circuit exhibits commendable power consumption performance. In the tt corner, at 25 °C with a power supply voltage of 0.8 V, the power consumption is only 166 nW.
Table 1 compares the proposed sensor with state-of-the-art temperature sensors. While conventional sub-threshold-current-based temperature sensors may slightly outperform the proposed sensor regarding temperature error and area [10], they require off-chip logarithmic computations for readout data and systematic error correction of measurement outcomes. In contrast, compared with similar sub-threshold-current-based sensors with linear readout values [13,14], the proposed sensor offers a broader temperature measurement range and occupies a significantly smaller area due to its lower voltage reference requirements. Additionally, compared with MOS temperature sensors based on gate-source voltage [19], the proposed sensor demonstrates superior performance in both area efficiency and temperature accuracy, along with enhanced power supply sensitivity characteristics. Furthermore, compared with traditional temperature sensors based on resistors or BJTs [20,21], the proposed sensor provides substantial advantages in terms of area and power consumption.

5. Conclusions

In this paper, an intelligent MOS-based temperature sensor that features a linear readout is presented. The current bias circuit of the sensor is designed utilizing the DIBL effect, which broadens the temperature measurement range and simplifies the design of the reference voltage source. The circuit is fabricated in a 55 nm CMOS process, and the total area of the sensor is 3216 μ m 2 . Simulation results indicate an error of −0.52/+0.28 °C at a temperature range of −20 to 120 °C, with a voltage sensitivity of 5.7 °C/V. The circuit’s power consumption is 166 nW.

Author Contributions

Conceptualization, H.L.; methodology, H.L.; software, H.L.; validation, Z.Y. and P.Z.; formal analysis, H.L. and Z.Y.; investigation, H.L., D.K. and A.Y.; resources, D.K. and Z.C.; data curation, H.L.; writing—original draft preparation, H.L.; writing—review and editing, Z.Y. and P.Z.; visualization, H.L.; supervision, P.Z.; project administration, A.Y.; funding acquisition, D.K. and Z.C. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the Key Special Topic of the Ministry of Science and Technology’s Major Research and Development Plan No. 2021YFB2206200.

Data Availability Statement

The data presented in this study are available on request from the corresponding author.

Conflicts of Interest

Author Dezhu Kong, Aiguo Yin, and Zefu Chen were employed by Zhuhai Pantum Electronics Corporation Ltd. The remaining authors declare that the research was conducted in the absence of any commercial or financial relationships that could be construed as a potential conflict of interest.

References

  1. Schönle, P.; Glaser, F.; Burger, T.; Rovere, G.; Benini, L.; Huang, Q. A Multi-Sensor and Parallel Processing SoC for Miniaturized Medical Instrumentation. IEEE J. Solid-State Circuits 2018, 53, 2076–2087. [Google Scholar] [CrossRef]
  2. Luo, Y.; Teng, K.H.; Li, Y.; Mao, W.; Lian, Y.; Heng, C.H. A 74-μW 11-Mb/s Wireless Vital Signs Monitoring SoC for Three-Lead ECG, Respiration Rate, and Body Temperature. IEEE Trans. Biomed. Circuits Syst. 2019, 13, 907–917. [Google Scholar] [CrossRef] [PubMed]
  3. Wang, H.; Mercier, P.P. A 763 pW 230 pJ/Conversion Fully Integrated CMOS Temperature-to-Digital Converter with +0.81 °C/-0.75 °C Inaccuracy. IEEE J. Solid-State Circuits 2019, 54, 2281–2290. [Google Scholar] [CrossRef]
  4. Zambrano, B.; Garzón, E.; Strangio, S.; Crupi, F.; Lanuzza, M. A 0.05 mm2, 350 mV, 14 nW Fully-Integrated Temperature Sensor in 180-nm CMOS. IEEE Trans. Circuits Syst. II Express Br. 2022, 69, 749–753. [Google Scholar] [CrossRef]
  5. Toth, N.G.; Tang, Z.; Someya, T.; Pan, S.; Makinwa, K.A.A. 23.7 A BJT-Based Temperature Sensor with ±0.1∘C(3σ) Inaccuracy from −55 °C to 125 °C and a 0.85 pJ·K2 Resolution FoM Using Continuous-Time Readout. In Proceedings of the 2023 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 19–23 February 2023; pp. 358–360. [Google Scholar] [CrossRef]
  6. Ku, H.S.; Choi, S.; Sim, J.Y. A 12μs-Conversion, 20mK-Resolution Temperature Sensor Based on SAR ADC. IEEE Trans. Circuits Syst. II Express Br. 2022, 69, 789–793. [Google Scholar] [CrossRef]
  7. Chouhan, S.S.; Halonen, K. A 40 nW CMOS-Based Temperature Sensor with Calibration Free Inaccuracy within ±0.6 °C. Electronics 2019, 8, 1275. [Google Scholar] [CrossRef]
  8. Lempel, Y.; Breuer, R.; Shor, J. A 700-μm2, Ring-Oscillator-Based Thermal Sensor in 16-nm FinFET. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2022, 30, 248–252. [Google Scholar] [CrossRef]
  9. Tang, Z.; Fang, Y.; Shi, Z.; Yu, X.P.; Tan, N.N.; Pan, W. A 1770-μm2 Leakage-Based Digital Temperature Sensor with Supply Sensitivity Suppression in 55-nm CMOS. IEEE J. Solid-State Circuits 2020, 55, 781–793. [Google Scholar] [CrossRef]
  10. Tang, Z.; Huang, Z.; Yu, X.P.; Shi, Z.; Tan, N.N. A 0.26-pJ·K2 2400-μm2 Digital Temperature Sensor in 55-nm CMOS. IEEE Solid-State Circuits Lett. 2021, 4, 96–99. [Google Scholar] [CrossRef]
  11. Zhao, W.; Pan, R.; Ha, Y.; Yang, Z. A 0.4 V 280-nW frequency reference-less nearly all-digital hybrid domain temperature sensor. In Proceedings of the 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC), KaoHsiung, Taiwan, 10–12 November 2014; pp. 301–304. [Google Scholar] [CrossRef]
  12. Someya, T.; Islam, A.K.M.M.; Sakurai, T.; Takamiya, M. An 11-nW CMOS Temperature-to-Digital Converter Utilizing Sub-Threshold Current at Sub-Thermal Drain Voltage. IEEE J. Solid-State Circuits 2019, 54, 613–622. [Google Scholar] [CrossRef]
  13. Someya, T.; Islam, A.K.M.M.; Okada, K. A 6.4 nW 1.7Sub-Thermal Drain Voltage Stabilization and Frequency-Locked Loop. IEEE Solid-State Circuits Lett. 2020, 3, 458–461. [Google Scholar] [CrossRef]
  14. Li, J.; Lin, Y.; Ning, N.; Yu, Q. A +0.44 °C/-0.4 °C Inaccuracy Temperature Sensor with Multi-Threshold MOSFET-Based Sensing Element and CMOS Thyristor-Based VCO. IEEE Trans. Circuits Syst. I Reg. Pap. 2021, 68, 1102–1113. [Google Scholar] [CrossRef]
  15. Wang, J.; Sun, X.; Cheng, L. A Picowatt CMOS Voltage Reference Operating at 0.5-V Power Supply with Process and Temperature Compensation for Low-Power IoT Systems. IEEE Trans. Circuits Syst. II Express Br. 2023, 70, 1336–1340. [Google Scholar] [CrossRef]
  16. Matko, V.; Milanovič, M. Detection Principles of Temperature Compensated Oscillators with Reactance Influence on Piezoelectric Resonator. Sensors 2020, 20, 802. [Google Scholar] [CrossRef]
  17. Matko, V.; Milanović, M. Temperature-compensated capacitance–frequency converter with high resolution. Sens. Actuators A Phys. 2014, 220, 262–269. [Google Scholar] [CrossRef]
  18. Anand, T.; Makinwa, K.A.A.; Hanumolu, P.K. A VCO Based Highly Digital Temperature Sensor with 0.034 °C/mV Supply Sensitivity. IEEE J. Solid-State Circuits 2016, 51, 2651–2663. [Google Scholar] [CrossRef]
  19. Jayaram, C.; Rao, P.S. A 0.5-V Energy-Efficient CMOS Temperature Sensor with 97.64 pJ/Conversion for Portable Applications. IEEE Sens. J. 2024, 24, 2436–2444. [Google Scholar] [CrossRef]
  20. Kim, J.; Lee, S.; Lee, M. A 0.9V Self-Referenced Resistor-Based Temperature Sensor with −0.62/+0.81 °C (3σ) Inaccuracy. IEEE Trans. Circuits Syst. II Express Br. 2023, 70, 4319–4323. [Google Scholar] [CrossRef]
  21. Tang, Z.; Pan, S.; Makinwa, K.A.A. 23.5 A Sub-1V 810 nW Capacitively-Biased BJT-Based Temperature Sensor with an Inaccuracy of ±0.15 °C (3σ) from −55 °C to 125 °C. In Proceedings of the 2023 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 19–23 February 2023; pp. 22–24. [Google Scholar] [CrossRef]
Figure 1. Two schemes of achieving linear temperature readout. (a) Two identical MOSs with different V G S . (b) Two identical MOSs with different V D S .
Figure 1. Two schemes of achieving linear temperature readout. (a) Two identical MOSs with different V G S . (b) Two identical MOSs with different V D S .
Electronics 13 02753 g001
Figure 2. Simulation results of Δ V G S scheme. (a) Linear fit image. (b) Temperature error.
Figure 2. Simulation results of Δ V G S scheme. (a) Linear fit image. (b) Temperature error.
Electronics 13 02753 g002
Figure 3. Simulation results of Δ V D S scheme. (a) λ q / ( n k ) over −20 °C to 120 °C. (b) Linear fit image. (c) Temperature error of the Δ V D S scheme after linear fit.
Figure 3. Simulation results of Δ V D S scheme. (a) λ q / ( n k ) over −20 °C to 120 °C. (b) Linear fit image. (c) Temperature error of the Δ V D S scheme after linear fit.
Electronics 13 02753 g003
Figure 4. Simplified architecture of proposed temperature sensor.
Figure 4. Simplified architecture of proposed temperature sensor.
Electronics 13 02753 g004
Figure 5. Diagram of the voltage generator and its simulation result. (a) Circuit diagram. (b) Reference voltages at different temperature points. (c) Reference voltages under different supply voltages.
Figure 5. Diagram of the voltage generator and its simulation result. (a) Circuit diagram. (b) Reference voltages at different temperature points. (c) Reference voltages under different supply voltages.
Electronics 13 02753 g005
Figure 6. Structure of the delay cell.
Figure 6. Structure of the delay cell.
Electronics 13 02753 g006
Figure 7. Average current consumption of the comparator as the reference voltage ranges from 300 mV to 600 mV.
Figure 7. Average current consumption of the comparator as the reference voltage ranges from 300 mV to 600 mV.
Electronics 13 02753 g007
Figure 8. Architecture of the digital controller.
Figure 8. Architecture of the digital controller.
Electronics 13 02753 g008
Figure 9. Timing diagram of the digital controller.
Figure 9. Timing diagram of the digital controller.
Electronics 13 02753 g009
Figure 10. Layout of the proposed sensor.
Figure 10. Layout of the proposed sensor.
Electronics 13 02753 g010
Figure 11. Two hundred Monte Carlo analysis results. (a) CNT2 values from −20 °C to 120 °C, with a power supply voltage of 0.8 V. (b) Temperature error after two-point calibration.
Figure 11. Two hundred Monte Carlo analysis results. (a) CNT2 values from −20 °C to 120 °C, with a power supply voltage of 0.8 V. (b) Temperature error after two-point calibration.
Electronics 13 02753 g011
Figure 12. Post-simulation results. (a) CNT2 value from −20 °C to 120 °C, with a power supply voltage of 0.8 V. (b) Temperature error after two-point calibration. (c) Power supply dependence of the temperature inaccuracy at 20 °C.
Figure 12. Post-simulation results. (a) CNT2 value from −20 °C to 120 °C, with a power supply voltage of 0.8 V. (b) Temperature error after two-point calibration. (c) Power supply dependence of the temperature inaccuracy at 20 °C.
Electronics 13 02753 g012
Table 1. Performance comparison with state-of-the-art MOS-based temperature sensors.
Table 1. Performance comparison with state-of-the-art MOS-based temperature sensors.
This Work 1 [102 [13][14][19][20][21]
TypeMOSMOSMOSMOSMOSResistorBJT
Process (nm)55556513018028180
Supply (V)0.8~1.80.8~1.30.75~1.050.9~10.50.90.95~1.4
Power (nW)1668606.4196976.412,500810
Area ( μ m 2 )32162400320,00070,00020,4009200250,000
Calibration2222221
Temp. range (°C)−20~120−40~85−30~700~80−40~125−40~100−55~125
Inaccuracy (°C)−0.52/+0.28±0.25 3−1.0/+0.7−0.4/+0.44 3−0.9/+0.88−0.62/+0.81±0.15
Relative inaccuracy (%)0.570.41.71.11.071.020.16
Conv. time (ms)1561.04765590.10.404128
Resolution (mK)58 5177510022056.51.8
Resolution FoM 4 (pJ·K2)86.9 50.2627120 54.7351.90.34
Line sensitivity (°C/V)5.75.82.813.70.2
External ref.NoYesYesNoNoNoYes
Linear readoutYesNoYesYesYesYesYes
1 The performance of the proposed work is based on post-simulation results whereas other compared work is based on chip test results. 2 It requires logarithmic operations to be performed off-chip. 3 This accuracy is achieved by off-chip non-linearity correction. 4 Resolution FoM = (energy/conversion) × (resolution)2. 5 Counter resolution is used here.
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Li, H.; Yang, Z.; Kong, D.; Yin, A.; Chen, Z.; Zhang, P. A 3216 μm2 MOS-Based Temperature Sensor with a Wide Temperature Measurement Range and Linear Readout. Electronics 2024, 13, 2753. https://doi.org/10.3390/electronics13142753

AMA Style

Li H, Yang Z, Kong D, Yin A, Chen Z, Zhang P. A 3216 μm2 MOS-Based Temperature Sensor with a Wide Temperature Measurement Range and Linear Readout. Electronics. 2024; 13(14):2753. https://doi.org/10.3390/electronics13142753

Chicago/Turabian Style

Li, Hao, Zhao Yang, Dezhu Kong, Aiguo Yin, Zefu Chen, and Peiyong Zhang. 2024. "A 3216 μm2 MOS-Based Temperature Sensor with a Wide Temperature Measurement Range and Linear Readout" Electronics 13, no. 14: 2753. https://doi.org/10.3390/electronics13142753

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop