Next Article in Journal
Short-Term Load Forecasting Method Based on Bidirectional Long Short-Term Memory Model with Stochastic Weight Averaging Algorithm
Previous Article in Journal
Special Issue: Recent Advances in Intelligent Vehicular Networks and Communications
Previous Article in Special Issue
Current Sensor Fault-Tolerant Control Strategy for Speed-Sensorless Control of Induction Motors Based on Sequential Probability Ratio Test
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A Taxonomy of Low-Power Techniques in Wearable Medical Devices for Healthcare Applications

1
Department of Electronics and Informatics (ETRO), Vrije Universiteit Brussel (VUB), Pleinlaan 2, 1050 Brussel, Belgium
2
Department of Information Science, Jimma University Institute of Technology, Jimma P.O. Box 378, Ethiopia
*
Authors to whom correspondence should be addressed.
Electronics 2024, 13(15), 3097; https://doi.org/10.3390/electronics13153097
Submission received: 27 June 2024 / Revised: 27 July 2024 / Accepted: 29 July 2024 / Published: 5 August 2024
(This article belongs to the Special Issue New Insights Into Smart and Intelligent Sensors)

Abstract

:
Chronic diseases are the most prevalent and non-communicable health crisis globally. Most chronic disease patients require continuous physiological monitoring, using wearable technology for timely treatment, precise illness detection, and preventive healthcare. Nonetheless, efficient power management is required for such resource-constrained wearable devices. This work aims to analyze low-power techniques (LPTs) in wearable medical devices using a data-driven approach and identify novel approaches promising higher power savings. Through an intensive literature analysis, we identify the most relevant LPTs for minimizing power consumption in wearable devices for physiological monitoring while recognizing the barriers to adopting these techniques. As a result, a novel taxonomy based on the common characteristics of the LPTs is proposed, along with strategies for the combination of LPTs. Through our analysis, we propose possible enhancements in using LPTs and suggest mechanisms for the medical device industry to facilitate their adoption. Overall, our proposed strategies guide the use of LPTs on wearable medical devices toward continuous physiological monitoring.

1. Introduction

Chronic diseases are the most prevalent and non-communicable health issue, causing the highest global mortality rate [1]. Numerous individuals live with complicated health conditions that affect their overall quality of life. Furthermore, the prevalence of these chronic diseases tends to escalate as patients age [2,3]. The delay in diagnosing and treating these chronic illnesses contributes to the deterioration of patients’ overall well-being. Therefore, the early identification of symptoms of chronic illness is crucial. Continuous physiological monitoring allows for the early detection, management, and prevention of chronic diseases through the use of wearable technologies [4].
A wearable device enables the continuous acquisition of physiological signals, allowing healthcare providers to closely monitor patients’ overall health status [5,6,7]. Moreover, these devices are not limited to just measuring physiological parameters but can also process the data collected. These wearable devices possess immense potential for applications in health monitoring and diagnosis [8]. Individuals with disabilities and elders engaged with multiple health concerns can now access healthcare without the need to physically visit a hospital [9,10]. However, the primary obstacle faced by wearable devices in healthcare is battery life shortages, particularly when monitoring patients in critical situations. To address this, power management has become paramount in wearable devices to deliver dedicated healthcare monitoring without power failure and maximize the battery life of these devices [11,12]. Nowadays, individuals seek durable and energy-efficient wearable devices that fulfill their requirements. Consequently, uninterrupted monitoring can only be achieved if the wearable device has sufficient battery power to gather physiological data [6,13].
Low-power techniques (LPTs) play a crucial role in minimizing power consumption in wearable devices, particularly for the purpose of continuous physiological monitoring [14,15]. The significance of reducing power consumption in wearable devices is a top priority for resource-constrained devices [10,11,16]. Hence, this review aims to investigate LPTs utilized for power consumption reduction and identify their barriers in wearable medical devices for healthcare settings. LPTs play an important role in prolonging the lifespan of these devices, enabling continuous monitoring and measurement of physiological parameters. These parameters offer valuable insights into the physiological condition of patients. Numerous techniques for reducing power consumption have been suggested, particularly for wearable technologies [17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46]. Despite the rapid advancements in wearable technologies, the power consumption challenge continues as these devices are still power-aggressive. The main achievements of this review include the following:
  i.
Analyzing and identifying LPTs used in wearable medical devices for acquiring, processing, and transmitting physiological parameters.
 ii.
Classifying and establishing a taxonomy of LPTs depending on their common features and use in medical applications for healthcare.
iii.
Exploring the barriers and possible enhancements in the utilization of LPTs within multimodal medical wearable devices.
This review highlights the significance of LPTs in wearable devices by comparing the various methods and proposing a combined approach. It provides an overview of the power consumption in wearables, emphasizing the importance of reducing power consumption and introducing common techniques used in wearables for healthcare. Section 2 outlines the motivation behind this review, referencing state-of-the-art research in wearable healthcare devices. It offers a comprehensive comparative analysis of existing reviews, highlighting their respective strengths and weaknesses in comparison to our work. Section 3 details the methodologies employed in the screening of different databases, the analysis of relevant research papers, and the inclusion and exclusion criteria. LPTs used in the selected works are analyzed in Section 4. A novel taxonomy is proposed based on the identified LPTs based on classification criteria, which is discussed in detail in Section 5. In order to support our proposed taxonomy, a bibliometric analysis is presented in Section 6, which also highlights the importance of LPTs in wearable medical devices. This section also provides a critical analysis of the practical implications of these LPTs along with the effects of a proposed hybrid approach. Finally, we conclude our work by summarizing the key findings and suggestions for future research in Section 7.

2. Related Work and Motivation

Over the last few years, several studies have addressed the differences in low-power technologies proposed to alleviate the limited power budget of wearable electronics. According to recent works [47], wearable medical devices are still facing power issues that require additional research to create ultra-low-power devices. The need for energy-efficient solutions is growing, with a focus on minimizing power consumption for optimal operation and reduced maintenance. As stated in [48], circuit design is a major concern, particularly when developing circuits for low-power applications. The incorporation of more transistors into circuits leads to simultaneous increases in power dissipation and circuit size. The power consumption in circuits is a crucial factor, particularly in the development of circuits for low-power applications. A study conducted by [49] also indicated that different power management techniques for wearable devices depend on the device resources and application requirements. Dynamic power management techniques, scheduling algorithms, and duty cycling are considered to be the most suitable approaches for optimizing system resources and meeting application requirements. Various power management techniques have been utilized in studies to prolong the lifespan of wearable devices, such as circuit design, clock gating, power gating, duty cycle, compressive sensing, clock frequency, voltage reduction, and sampling schemes, as proposed in recent research [6].
The trends and challenges of modern wearables are discussed in [50]. As the future of wearable devices will become more compact and integrated, it is essential to focus on energy-efficient techniques that are practical and durable. The combination of energy-efficient systems and a continuous power supply for wearables is crucial for maximizing power savings. The authors in [51] introduced a battery-free mechanism that relies on multiple power sources. The proposed power management system enables reliable, battery-free, and continuous monitoring of self-contained wearable devices. Efficient energy management is a critical factor in the system-on-chip design. As per [52], low-power very-large-scale integration (VLSI) technology is introduced to manage energy at various levels, including systems, algorithms, architectures, and circuits. These techniques are considered at the design level to minimize the power consumption of modern wearable devices. The work carried out by [53] demonstrated that cloud computing offers a dependable and optimal environment for robust life-saving systems that are designed to function without any communication interruptions. Real-time medical applications depend heavily on data and communication systems for remote health monitoring, leading to the rapid generation of large volumes of data.
The challenges of processing and managing a large amount of clinical data on resource-limited wearable devices is discussed in [54]. The authors suggest lossless data compression to ensure that no relevant clinical information is lost during the compression process. In addition, a study conducted in [55] demonstrated that advanced power sources for wearable devices can be achieved through the continuous integration of the required energy capacity. These power sources include batteries, energy harvesters, ambient environments, and hybridization technologies. In [56], the authors focused on reducing the power consumption for major power consumers, such as the main CPU and system interconnect. The demand for compact and low-power wearable devices has grown because of their contribution to health monitoring and disease treatment. Therefore, improving energy efficiency is crucial for power-hungry devices [57]. A recent study [58] introduces a task-scheduling algorithm for wearable medical devices, aiming to reduce power consumption by optimizing task scheduling.
In [59], low-power technologies are generally investigated for telecare and telehealth wearable systems that continuously monitor a user’s physiological status. The work roughly categorized low-power technologies into two major categories, namely hardware- and firmware-based approaches. However, the effectiveness and evaluation of using their techniques for power reduction during physiological monitoring were not discussed. The authors in [17] assess low-power wearable development for continuous physiological monitoring. This review intends to analyze physiological signals, wearable design considerations, and vital parameters for preventive healthcare. Unfortunately, the work did not specifically address LPTs for wearable devices for continuous monitoring of health conditions.
In [60], a solution for the limited life of the battery of miniature devices for the future is presented. The authors proposed battery-less or implantable wearable devices that harvest energy from the physical body of humans or the environment using thermoelectric generators, piezoelectric generators, solar energy, and radio frequency. However, the challenges associated with these energy-harvesting techniques and their effectiveness were not investigated in wearables.
In healthcare applications, human context recognition is becoming a challenging task for offering continuous physiological monitoring to users. According to [61], human context recognition faces a power issue for continuous monitoring of personal and environmental parameters for many medical applications. As the authors indicate, energy-efficient mechanisms are classified based on human context-recognition (HCR) applications. The work boldly provides a combination of techniques used for further power reduction. However, the features of LPTs and the bottlenecks associated with them in wearable medical applications are not addressed in their work. Even though the authors intend to provide relevant information regarding LPTs for designers, the limitations of implementing the identified LPTs in HCR wearable systems are not explored. In [7], wearable devices and their challenges are presented. The survey presents the categorization of commercial wearable products and research prototypes with little focus on power reduction. Energy efficiency is considered in terms of battery technologies, such as Li-poly and Li-ion batteries, as well as energy harvesting, such as kinetic, thermoelectric, and solar energy. According to [62], the integration of biomedical processor SoCs in wearable devices is used to meet the requirements of healthcare applications. The review categorizes power-reduction techniques as communication, computation, and sensing for improving energy efficiency.
The authors in [14] intensively reviewed energy efficiency for a wide application area in the Internet of wearable things. A developed taxonomy depends on an application area such as healthcare, activity recognition, and environments, but it does not consider features of LPTs for wearable devices. According to [63], energy sources are the solution for powering wearable medical devices, such as batteries, biofuel cells, solar cells, supercapacitors, thermoelectric, piezoelectric, and triboelectric generators, and radio frequency. Their review targets energy-harvesting techniques instead of power-saving techniques.
Therefore, many reviews explicitly focused on the energy-harvesting aspects instead of briefly mentioning the features of the techniques, challenges in applying techniques, and power-saving techniques in wearable devices during continuous physiological monitoring, as shown in Table 1. However, energy harvesting from environmental sources is often intermittent and unpredictable, leading to an inconsistent power supply. Some studies have evaluated algorithms without considering the limited resources of the wearables, while other studies have focused solely on reducing power consumption without considering vital signals Furthermore, most reviews are not aimed at LPTs as their primary goal; instead, they focus on a single energy efficiency module [14,59,61,62]. In fact, the power is consumed in different modules of wearable devices such as communication, ADC, MCU, and LCD.
To the best of our knowledge, few accessible studies have been conducted on cutting-edge research on LPTs in wearable medical devices with simultaneous vital sign monitoring. Although numerous reviews have been conducted in the area of energy harvesting for wearable medical devices, only a limited number of reviews have specifically categorized LPTs and their common features for medical applications in wearable devices. Instead, our novel taxonomy considers the power consumption of wearables during the acquisition, processing, and transmission of signals while detecting vital signs in wearable devices. Our work explores LPTs based on hardware, software, application, and self-awareness perspectives for managing static and dynamic power consumption, as well as the most power-consuming modules.

3. Methodology

Our review process was conducted based on the objective of the work and the diagram discussed in [73]. This section describes the methods and procedures used to conduct this review. These methods include search term selection, identifying well-known databases, searching strategy, selecting relevant sources, eligibility criteria, quantitative and qualitative data analysis, interpretation, and advancing a conclusion.

3.1. Keywords and Searching Strategy

Initially, the search terms were selected for searching the literature. Google Scholar, PubMed, IEEE, Web of Science, and Scopus were the databases identified for searching relevant papers. The identified papers were selected based on setting the criteria for inclusion and exclusion, as depicted in Figure 1. The relevant sources used for this work were journals, conference papers, full-length articles, and proceeding papers.
In conducting our literature review, we searched various databases. These databases were selected for their comprehensive coverage of low-power techniques, wearable medical devices, biosignals, physiological parameters, and healthcare. Our initial keywords included low-power technique, power consumption, power optimization, power reduction, power-saving mechanism, power management, vital sign, continuous monitoring, physiological signal, biomedical signal, and wearable device. We refined these keywords by incorporating synonyms and related terms to ensure a comprehensive search; we combined these terms using boolean operators, resulting in search strings like the following:
(Low-power Technique OR Power Consumption OR Power Optimization OR Power Reduction OR Power-saving Mechanism OR Power Management) AND (Vital Sign OR Continuous Monitoring OR Physiological Signal OR Biomedical Signal) AND (Wearable Device)

3.2. Eligibility Criteria

Several procedures were carried out to assess the eligibility criteria of journals, conference papers, proceeding papers, and articles from databases. In the first step, a total of 1074 papers were collected for review. Secondly, we screened the gathered documents and eliminated 46 papers for being duplicates and 824 papers for not meeting the objective criteria. Thirdly, 150 papers were excluded as they were not aimed at wearable medical devices, biomedical signals, physiological monitoring, or medical applications in healthcare. Finally, 54 studies that met the criteria were selected and used for analysis. However, this review did not consider the citation count during the paper selection process, since the number of citations may differ among various databases. Additionally, depending on the criteria, articles that did not focus on LPTs, wearable devices, biomedical signals, or medical applications were excluded from our work. The process of reviewing and selecting papers based on specific criteria is outlined in Figure 1.
As shown in Table 1, a thorough analysis was conducted to bridge this gap in previous studies. The proposed methodologies included identifying relevant previous studies by setting the eligibility criteria from different databases. A critical analysis was performed considering various parameters, as shown in Figure 1. A novel taxonomy was developed using a data-driven approach and relevant papers from previous studies were selected. The shortlisted research works were then analyzed to identify the various LPTs employed by their authors, along with their advantages and challenges in the next section, Section 4.

4. The Common LPTs for Wearable Devices in Medical Applications

In this section, the identified works were thoroughly investigated to uncover the various LPTs used, along with a detailed discussion to highlight their corresponding implications. Many strategies target the optimization of the time the device is active [21,74,75]. In that regard, the optimization of the duty cycle, the ratio of time that devices or systems are active to the total time, leads to a significant power reduction by minimizing the active time of devices and maximizing their sleeping time. The sleep modes are used to turn off peripheral and idle components to reduce power consumption while keeping essential components and circuits active [20]. This strategy is feasible when the devices and sensors need to be operated for a proportion of time and then go to sleep [13,76,77]. Nevertheless, it becomes problematic when certain components or sensors must function continuously [78]. A balanced computational workload is used for an efficient distribution of tasks across a processor to optimize performance, which leads to an increase in power savings [22,28]. It contributes to minimizing power consumption by enabling efficient parallelization of tasks across multi-cores, efficient resource utilization, and load balancing [23]. Instead of processing sequentially, task pipelining provides parallel and concurrent data processing to reduce the execution time [24,26].
Similarly, a clock frequency determines a processor’s performance and power consumption [79]. Higher clock speeds offer better performance, but they also increase power consumption. As the clock frequency of a processor increases, its power consumption increases linearly due to the higher dynamic power consumption. Therefore, the association between clock frequency and power consumption is crucial for optimizing the design and operation of devices [11]. An effective clock frequency is essential to balance performance and power efficiency, especially in battery-powered and high-performance computing environments. Furthermore, the other technique is clock gating, which emphasizes minimizing dynamic power consumption, specifically by turning on or off some of the digital circuits. It is selectively applied to disable the clock signal when it is not needed and inactive [28,29]. However, glitch management is the challenge associated with clock gating, when an unintended pulse causes incorrect digital circuit operation.
Moreover, the other strategy for reducing power consumption in low-power devices is to minimize the number of samples needed for accurate signal reconstruction. Among these strategies, compressive sensing (CS) is a powerful approach that operates effectively with sparse signals in wireless sensor networks [34,35,36,37]. CS can be applied for signal processing, particularly for signal acquisition and transmission. So, it leads to reducing power consumption in low-power devices by acquiring fewer samples, lowering the computational load, and reducing data transmission. According to the conventional method based on Nyquist’s theorem, information must be preserved using a sampling frequency of at least twice the original signal’s bandwidth [37]. Joint compressed sensing (JCS) extends the concept of CS when multiple signals that are correlated or share some common structure are acquired and reconstruction processes are performed. It contributes to reducing the power consumption of devices or sensors during data collection and processing from multiple sources. Therefore, it exploits the correlations between different data sources to perform data acquisition and compression simultaneously, thereby reducing the amount of data that need to be processed and transmitted, which leads to significant power savings [38]. Correlated double sampling (CDS) enhances signal quality by reducing offset, low-frequency noise, and unwanted signal variation that downgrade the quality of the output. The key idea is that the process of reducing offset improves the signal quality without needing to increase power consumption [39]. Knowledge-based adaptive sampling is a valuable strategy in data acquisition and sensor networks to minimize power consumption while maintaining or improving data quality. Therefore, it can reduce power by dynamically adjusting the sampling rate of signal acquisition [40].
Finally, self-awareness is also a key aspect for low-power wearables, allowing the system to analyze and adjust its behavior and state to minimize power consumption, as reported in [41,80,81]. Hence, it has the capability to adapt and evaluate processes in order to efficiently enhance energy usage. However, additional resources are required to reduce the device’s power consumption. A self-power manager can set parameters to define policies and perform a set of experiments to find the most efficient setting to minimize power. These parameters are observation, activity, energy level, policy, and priority. Depending on these parameters, it dynamically optimizes the power of the devices. This technique is smart power management, which optimizes power consumption [18]. Furthermore, power gating is another strategy to effectively minimize static power consumption in digital circuits [82]. It saves power by completely turning off the power supply to the inactive circuit by entering sleep mode. However, it takes time to power up a previously gated domain due to the need to stabilize the power supply and reinitialize the domain.
Hence, numerous techniques have been applied to wearable devices, ranging from complex computational algorithms to lightweight algorithms. The significant demand is to minimize power consumption, which is the target of many studies. Building upon the underlying ideas of different LPTs discussed in this section, we have classified them and present a taxonomy in the next section, Section 5. This taxonomy can assist researchers in selecting suitable LPTs based on their underlying principles and applications.

5. Proposed Taxonomy for LPTs

The presented taxonomy was developed based on how LPTs are applied to wearable devices. LPTs were categorized and classified based on their shared features, which can be used when selecting multiple LPTs for power efficiency. The proposed taxonomy takes into account LPTs such as duty cycle optimization [13,19,20,21,76], balanced computational workload [22,23,28,83], task pipeline [24,25,26], frequency scaling [27,79], clock gating [28,29,30,31], CS [19,32,33], JCS [38], CDS [39], knowledge-based adaptive sampling [40], self-awareness [18,41,42], self-power manager [18,84], and power gating [31,43,44,45,46].
The categorization and classification of LPTs specifically applied in wearable devices can be conducted depending on their characteristics. We classified the LPTs into four main categories: task scheduling, signal compression, clock, and power management, as shown in Figure 2. The first category of this taxonomy includes task scheduling to reduce power consumption in processors or systems. The second category targets clock management, since the clock rate has a relationship with power consumption in circuits. The third category is an application-based category based on the sample rate of the signals. The last category is smart power management, which dynamically adjusts and analyzes the operations for energy efficiency. A novel taxonomy can be applied to hardware, software, applications, and dynamic adjustments in low-power wearable medical devices.

5.1. Categories of the Taxonomy

5.1.1. Task Scheduling

Task scheduling is a crucial strategy in extending the lifespan of the battery. It has the ability to regulate the idle period of the microcontroller by organizing the execution of tasks [85,86]. The strategies intend to extend the duration during which the processor can operate in low-power mode. As illustrated in Table 2, the subcategories of task scheduling encompass duty cycle optimization, balanced computational workload, and task pipelining.
  • Duty cycle optimization is the most frequently utilized approach to decrease power consumption in wearable devices, as reported in [13,20,21,76]. Substantial power is saved by shutting down inactive circuits and periodically placing the device in sleep mode. Likewise, in the study conducted by [13], the optimization of the duty cycle entails modifying the active time to vary the duty cycle, and, specifically, a duty cycle of 40% is adjusted to strike a balance between the required performance and power efficiency. Hence, the optimization of the duty cycle is fine-tuned to maximize the utilization of sleep mode, resulting in a reduction in power consumption.
  • Balanced computational workload is a power-saving strategy that aims to minimize the power expenses associated with computational workloads by distributing the workloads across multiple computing cores [22,28,87]. The primary objective is to decrease power consumption during periods of no workload. Therefore, the balanced computational workload distributes the number of tasks needed to be active. The optimal approach to minimizing power consumption involves distributing workloads effectively and deactivating idle machines once tasks are completed [23,83].
  • Task pipelining is another approach to conserving power, by implementing a low-power strategy that allows for the simultaneous execution of multiple tasks. By breaking down the assigned task into smaller subtasks, the workload can be distributed across multiple processes rather than relying on a single process. This parallel execution of tasks helps to minimize the overall processing time required for the operations [24,25,26].

5.1.2. Clock Management

The clock frequency plays a crucial role in achieving substantial power savings, especially in processors. It is an effective strategy for decreasing power consumption in electronic devices by reducing the clock frequency. This particular category effectively minimizes dynamic power consumption, resulting in a notable decrease in the power percentage utilized by devices.
  • Frequency scaling is a method to decrease power consumption by adjusting the frequency dynamically. This approach involves dynamically adjusting the clock frequency based on workload demands to achieve significant power savings [11,27,79]. For example, not every block within a chip operates at the highest frequency in order to meet the desired performance standards. Certain blocks, such as communication blocks like I2C or UART, are designed to function at a slower clock speed. This is in contrast to blocks such as the processor, which necessitates a high-frequency clock to achieve optimal throughput.
  • Clock gating is a power-reduction technique implemented on devices to minimize dynamic power consumption. By minimizing the switching activity and capacitance of the clock during periods of inactivity, a substantial amount of power can be conserved [30,88,89,90]. Indeed, the clock network consumes nearly 70% of the dynamic power [91]. By deactivating the clock supply to the sequential circuit during periods of inactivity, energy can be saved. Therefore, this method plays a vital role in power optimization as it reduces the quantity of clock gating implemented and the corresponding switching activities.

5.1.3. Signal Compression

Signal compression involves the process of obtaining and reconstructing signals, which can offer numerous advantages in various medical applications [34]. The compression of signals has been advanced to reduce storage and data transmission rates. In terms of power, data transmission is the most exploitative activity [35].
  • Compressive sensing (CS) is commonly employed to decrease data acquisition time by gathering a limited number of samples in order to lessen the necessary wireless bandwidths and the amount of data [19,32,33,92]. This approach enables efficient data acquisition, transmission, and reconstruction from sparse signals. Consequently, CS can be employed to lower power consumption on both the transmission and receiving ends.
  • Joint compressed sensing is an extension of the CS technique that addresses where multiple related signals must be acquired and processed together. It refers to the simultaneous consideration and exploitation of the correlations and shared structures among signals in the acquisition and reconstruction. Hence, it involves simultaneously sensing multiple physiological signals that are related to each other, which decreases the power consumption [38].
  • Correlated double sampling (CDS) is an efficient strategy to subtract the offset and low-frequency noises from the sensitive measurement, which leads to significant power savings. CDS is used to remove unwanted offsets from the measured electrical values of the sensor output, which affect the quality of signals [39,93]. The output of these sensors is measured under unknown and known conditions.
  • Knowledge-based adaptive sampling estimates the optimal frequency of the signal sampling to be monitored dynamically [40]. Therefore, this technique is an efficient sampling method with an optimal sampling frequency utilized for the selection of the sampling rate. As the sampling rate reduces, the quantity of data transmitted can be reduced using adaptive sampling, which reduces the power consumption significantly.

5.1.4. Power Management

Power management is the last category of the developed taxonomy of wearable devices. It is an important strategy, specifically when battery recharging is impractical and a patient has an urgent health condition. This method can notify users of the battery’s status prior to it reaching a critical level [14].
  • Self-awareness: the wearable device’s ability to detect its power status is crucial for efficient operation [41,80,81]. This strategy aims to help medical devices conserve power by determining consumption. The algorithm is designed to analyze data and automatically adjust power consumption to minimize energy usage [18,42]. Hence, self-awareness plays a crucial role in acquiring the necessary information for making decisions in the long run, thereby conserving energy.
  • Self-power manager is a method that actively manages power usage by adjusting policies and parameters to enhance energy efficiency while consistently monitoring patients [84]. A self-power manager is an intelligent power management method that possesses an independent state in order to minimize power usage. The energy level, priority, activity, observation, and policy states are utilized to continuously monitor and adjust parameters, resulting in reduced power consumption for wearable devices [18].
  • Power gating is a power-reduction strategy implemented in integrated circuits to minimize power consumption by disabling a power supply to unused circuits. This technique focuses on static power by shutting off the current flowing to the circuit [43,44,45,87]. Power gating allows the power supply to be turned off to parts of the circuit or block that are not in function to avoid excess power consumption [82,89]. Therefore, the main idea is to cut off the power rails using power switches to the circuit blocks to maximize power savings.
In the next section, Section 6, we present the bibliometric analysis, which highlights the importance of LPTs in wearable healthcare systems and supports our proposed taxonomy. Additionally, we discuss the critical analysis, practical implications of these LPTs, and the effects of combining them.

6. Results and Discussion

This comprehensive analysis section assesses LPTs for wearable healthcare devices by synthesizing information from existing and relevant literature, incorporating a bibliometric analysis, critical examination of various LPTs’ aspects and corresponding implications. The distribution of the reviewed work by year of publication is illustrated in Figure 3. The examined articles span from 2000 to 2023. Our investigation centers on analyzing and pinpointing the most pertinent articles concerning LPTs, biosignals, and vital signs in wearable devices that are published in global conferences and leading scientific publications. The majority of these studies focus on power optimization for wearable devices in the healthcare sector. Nevertheless, it should be noted that our work specifically addresses studies related to low-power technologies (LPTs). This review highlights that LPTs have been examined in healthcare settings with taking into account important vital signs in many studies. It is crucial to assess the impact of LPTs on power consumption in medical applications using wearable devices while considering vital signs.
The physiological data of a patient can be remotely acquired for healthcare purposes. However, to ensure continuous monitoring, various essential elements are needed for collaborative effectiveness. These elements include gathering physiological information, biomedical signals, LPTs, and wearable devices. They have a close connection to physiological monitoring and are typically integrated into healthcare applications. Wearable devices consume power during the acquisition to the transmission of physiological information to detect vital signs. As mentioned in Table 3, the most common physiological signals are PPG, ECG, EMG, EOG, EEG, and PCG, which are typically measured using wearable devices and used to detect chronic diseases, injury or disorder, eye movements, brain damage, and others. Moreover, evaluating LPTs for reducing power usage in medical wearable devices also depends on the vital signs that are obtained, analyzed, and transmitted during operations. Vital signs serve as crucial indicators for identifying chronic illnesses through the measurement of physiological data. Heart rate (HR), blood oxygen saturation (SpO2), blood pressure (BP), heart rate variability (HRV), respiratory rate (RR), blood glucose, and various other physiological parameters are commonly observed.
The majority of the examined studies have utilized LPTs for analyzing ECG signals in medical contexts [35,38,94], while some works also explored LPTs for PPG signals [19,21,76,95,96]. Similarly, some other works have explored the use of LPTs in EMG [97], EEG [30], EOG [98], and PCG signals [9]. The predominant use of LPTs (40.3%) is for the acquisition of ECG signals via wearable devices, as illustrated in Figure 4. The breakdown of LPT distribution for medical applications in wearable devices is as follows: 23.3% of work uses PPG signals, 16.3% of work uses EEG, 10.1% of work uses EMG, 6.2% of work uses EOG and 3.9% of work uses PCG signals.
As depicted in Figure 5, the majority of studies in wearable devices employ signal compression as one of the methods to conserve power in their design. The subcategories within these classifications are determined by their shared characteristics for enhancing power efficiency in wearable devices, as explained in Section 5.1. Task scheduling, signal compression, clock, and power management are the most frequently utilized strategies in wearable systems. Signal compression is commonly utilized in wearables, as it has been found to be the most frequently used strategy. This is due to the fact that compression and sampling techniques are effective in reducing power consumption [36].
Figure 6 presents an elaborate categorization, offering a thorough classification of power-saving strategies and the frequently employed LPTs to reduce power usage in wearable devices.
Of the strategies, duty cycle adjustment is the most frequently exploited strategy in some works [76,95,96]. Around 53% of the work utilizes the duty cycle optimization approach while acquiring a PPG signal for continuous physiological monitoring. For instance, the power is typically dominated by the light-emitting diode (LED) driver in the PPG sensor, which can be optimized by the duty cycle ratio of the LED as low as possible, as reported in [39,99]. Thus, duty cycle optimization involves switching the LED to regulate the frequency at which signals are acquired. Accordingly, the percentage distribution of LPTs in various biosignals is presented.
An ECG signal exploits a CS approach to process signals through wearable devices, as elaborated in many works. Accordingly, 42% of the work exploits the CS technique in ECG signals. Similarly, 43% of the work applies the CS approach to EEG signals. Furthermore, 54% of the work utilizes the CS technique in EMG signals, while 20% of the work capitalizes on this technique in PCG signals. The aforementioned strategy is also utilized for various other physiological signals, including the PPG signal, as reported in [19,100]. Nearly 16% of the works apply self-awareness and clock gating, 10% of the works apply power gating, 4% of the works apply JCS and knowledge-based adaptive sampling, 15% of the works apply duty cycle optimization, and 13% of the works apply frequency scaling in ECG signals. Similarly, a summary of the respective LPTs, the percentage of their application, and various biomedical signals are presented, as depicted in Figure 6.
In comparison, it is observed that clock gating, power gating, and knowledge-based adaptive sampling are not extensively employed in PPG signals to the same degree as in ECG signals. This is because these strategies do not directly manage the LED flashlight of the PPG, as the duty cycle can be achieved. CS is the most frequently utilized and extensively adopted technique for obtaining ECG in medical wearable devices. Due to its ability to handle sparsity, it is utilized in medical applications and physiological monitoring [101].
Furthermore, the recent trend in wearable medical devices to minimize power consumption involves combining LPTs with other low-power strategies [102,103]. This analysis highlights the effective utilization of combining various LPTs to exploit the strengths of their effects in several works [18,104,105,106]. The low-power wearable may require the implementation of multiple strategies during the design stage. The integration of multiple low-power methods during the power optimization procedure leads to an extended lifespan of the battery. For example, the duty cycle adjustment is the strategy that maximizes the sleeping time of the sensors to reduce power, whereas the compressive sensing strategy can lower the sampling rate for the transmission time. Therefore, the combination of these strategies would contribute to further power reduction. As shown in Table 4, some of the combined LPTs applied in some works are presented as an eye-opener. The analysis of this review indicates that utilizing a single LPT does not significantly reduce power consumption, as power is utilized in various components of the modules, such as the MCU, ADC, LCD, sensors, and wireless communication.
This suggests that combined LPTs are more feasible regarding power consumption reduction, as they are applied to signal acquisition, processing, and transmission in wearables [109]. Hence, it is advisable to employ a combination of LPTs to address power consumption challenges on devices with limited resources. This concept involves utilizing hybrid approaches or combining different LPTs to achieve power reductions. As the number of LPTs is combined, the rate of power consumption decreases. This review concluded that the implementation of combined LPTs yielded promising results in reducing power consumption for wearable devices.
The other important contribution of this work is to provide a research guideline for designing low-power strategies for wearable devices. An efficient approach to enhance performance is by optimizing the duty cycle, which involves periodically switching between active and passive periods for a component or sensor node. Once the necessary operation is completed, the respective component can be deactivated. This indicates that the optimization of the duty cycle is a successful strategy that can be employed to control the devices during their active period. For instance, the duty cycle applied to the heart rate monitoring periodically takes readings and then enters a sleep mode between measurements. This periodic activation reduces power consumption compared to continuous monitoring, extending battery life. Another similar approach is distributing workloads over processors and shutting down physical machines after the execution of a task. An effective strategy for successfully executing tasks and powering down physical devices is to ensure an equitable distribution of the computing workload.
Similarly, task pipelining is a method used to decrease power consumption by executing multiple operations simultaneously. An effectively structured task pipeline is essential for organizing tasks from different applications within pipeline features. For example, by applying task pipelining, the smartwatch efficiently uses power, balancing the need for real-time monitoring with the overall battery life.
Likewise, adjusting the frequency significantly influences the power usage of devices, as altering frequencies can impact power consumption. For instance, a device can operate at a low frequency during less intensive tasks and switch to a higher frequency for high workload data analysis or transmission, hence saving power. Clock and power gating are commonly employed methods to reduce power consumption in electronic circuits. Clock gating is a technique that allows for the selective disabling of the clock source in specific blocks of a circuit when those blocks are not in use. For example, the clock of the circuitry responsible for processing heart rate can be disabled when it is inactive. On the other hand, power gating is a highly efficient method for reducing power consumption by blocking the power supply to idle portions and non-operational components of the design. For instance, it can shut off power to a specific component, when blood glucose monitoring is not in use.
On the other hand, CS is effective in reconstructing sparse signals from limited samples; however, a major drawback is the additional power consumption required to reconstruct the compressively sampled signals. For example, compression algorithms are used in a spirometer to minimize the size of respiratory data before transmission. CDS provides a reliable method for eliminating drift and reducing low-frequency noise in sensitive measurements, while also allowing for offset subtraction. Furthermore, the implementation of a JCS method is crucial in order to minimize power usage in medical wearable devices effectively. This technique takes into account and leverages the correlations present in both the signal acquisition and reconstruction processes. Additionally, it is imperative to determine the ideal and flexible sampling frequencies for the sensor, considering that the power consumption of the sensor is greater than that of other components. Hence, employing knowledge-based adaptive sampling proves to be a successful approach to attain this objective. For example, an activity tracker can dynamically adjust its sensor-sampling rate in knowledge-based adaptive sampling.
In addition, it can be quite challenging to uphold and recharge a battery in demanding circumstances. Hence, self-awareness is utilized in healthcare applications during crucial circumstances. In cases where a patient is facing severe medical conditions or when it is not feasible to recharge a battery, self-awareness becomes essential. The technique offers patients pertinent details regarding the battery’s condition prior to reaching a crucial point [16]. It is imperative to have a self-power manager in place when establishing power-reduction policies to ensure the continuous operation of the system. This power strategy is an intelligent approach that effectively manages power by utilizing parameters and policy.
We have also noticed that different works utilize various computing platforms from different vendors. Some vendors offer low-power tuning features, such as voltage scaling and frequency scaling, while others do not. Additionally, these features are not available for fine-grain-level tuning and only operate at a coarse level. Moreover, the flexibility to shut down internal modules of different computing platforms is limited, leading to unnecessary power consumption. Providing the ability to turn off these internal modules could help mitigate this issue. Furthermore, the analysis shows that using a single LPT does not significantly reduce power consumption. Combining multiple LPTs can lead to greater power savings, but their effectiveness is limited by the lack of flexibility in some computing platforms.
Finally, this analysis ultimately identified the constraints associated with employing LPTs in medical wearable devices. Managing the total power consumption of medical wearable devices is a challenging task due to the consumption and dissipation of power across different components involved in signal acquisition, processing, and transmission. Hence, every low-power method faces a limitation when implemented to decrease power usage in wearable devices. An analysis of the strengths and drawbacks of using LPTs in devices is provided, as depicted in Table 5.

7. Conclusions

The analysis of this review represents a significant achievement in the development of energy-efficient wearable devices for medical healthcare purposes. The continuous monitoring of a patient’s physiological parameters heavily relies on the power optimization capabilities of wearable medical devices. Hence, this review discovered the impact of LPTs in reducing power consumption in wearable medical devices used for obtaining and tracking a patient’s physiological parameters. The continuous monitoring of specific physiological parameters necessitates a particular emphasis on the design of low-power wearables. Therefore, the findings presented in this work help developers, designers, and researchers design low-power wearable devices for healthcare applications. The elaboration encompasses the specifics of various LPTs, the classifications of signals, the continuous monitoring of parameters, the integration of combined LPTs, and the challenges that must be taken into account when suggesting LPTs for wearable devices. Additionally, this review introduces an LPT classification system aimed at minimizing power consumption in wearable medical devices.
However, the implication of task scheduling is periodically entering power-saver modes, which has no guarantee for continuous operations, for example, during surgical procedures. Furthermore, signal compression has implications during complex and noisy signals, because it is difficult to accurately reconstruct the full signal without losing important information, for instance during the medical image acquisition of the brain or lungs. Likewise, clock management leads to potentially hindering the device’s capacity to promptly detect and respond to arrhythmias due to a decrease in the clock’s speed, for instance, during critical health data communication in emergencies; it needs to operate at full speed to ensure timely and reliable data transmission. Furthermore, self-awareness restricts the effectiveness and applicability in certain medical applications due to the need for additional resources like memory or data communication during severe mental health conditions such as disorders or respiratory conditions.
The findings of this work argue that duty cycle optimization is a feasible technique in PPGs and PCGs; furthermore, CS is for ECGs, EEGs, and EMGs; self-awareness and clock gating are for ECGs; and frequency scaling is for EOGs and EEGs. Furthermore, the review concluded that utilizing a combination of LPTs could lead to a decrease in power consumption across various medical applications. This work explored LPTs in wearable devices in terms of an application, hardware, and software perspective. Therefore, this article provides relevant information regarding LPTs for researchers and developers to design a low-power wearable medical device for continuous physiological monitoring of patient health status.
The experimental evaluation of each technique using vital signs with different simulations is crucial for analyzing their power consumption. The future direction of this research is to assess the appropriate techniques using various simulations to demonstrate their power profiles.

Author Contributions

Conceptualization, W.T. and B.d.S.; formal analysis, W.T. and B.d.S.; investigation, W.T. and B.d.S.; visualization, W.T. and B.d.S.; methodology, W.T., B.d.S. and M.I.K.; supervision, B.d.S., W.J. and J.S.; software, W.T. and B.d.S.; validation, W.T., B.d.S., M.I.K., W.J. and J.S.; resources, W.T. and B.d.S.; data curation, W.T. and B.d.S.; writing—original draft preparation, W.T. and B.d.S.; writing—review and editing, W.T., B.d.S., M.I.K., W.J. and J.S.; funding acquisition, B.d.S. and J.S. All authors have read and agreed to the published version of the manuscript.

Funding

This research is supported by the NASCERE (Network for Advancement of Sustainable Capacity in Education and Research in Ethiopia) scholarship through a collaboration between Jimma University of Ethiopia and Vrije Universiteit Brussel in Belgium. This work is also partially supported through a Ph.D. scholarship from Pakistan.

Data Availability Statement

Data are contained within the article.

Conflicts of Interest

The authors declare no conflicts of interest.

Abbreviations

The abbreviations utilized in this review are as follows:
LPTsLow-power techniques(s)
HCRHuman context recognition
IoWTInternet of wearable things
ADCAnalog-to-digital converter
MCUMicrocontroller unit
LCDLiquid crystal display
SoCSystem on chip
CSCompressive sensing
JCSJoint compressed sensing
CDSCorrelated double sampling
PPGPhotoplethysmography
ECGElectrocardiogram
EMGElectromyography
EOGElectrooculography
EEGElectroencephalography
PCGPhonocardiogram
PRISMAPreferred Reporting Items for Systematic Reviews and Meta-Analyses
LEDLight-emitting diode

References

  1. WHO. Non Communicable Diseases. 2023. Available online: https://www.who.int/news-room/fact-sheets/detail/noncommunicable-diseases (accessed on 3 June 2024).
  2. Haque, A.; Chowdhury, M.N.U.R.; Soliman, H. Transforming Chronic Disease Management with Chatbots: Key Use Cases for Personalized and Cost-effective Care. In Proceedings of the 2023 Sixth International Symposium on Computer, Consumer and Control (IS3C), Taichung, Taiwan, 30 June–3 July 2023; pp. 367–370. [Google Scholar] [CrossRef]
  3. Kim, S.H.; Chung, K. Emergency situation monitoring service using context motion tracking of chronic disease patients. Clust. Comput. 2015, 18, 747–759. [Google Scholar] [CrossRef]
  4. Veerabhadrappa, S.; Swamy, P.S.; Suguna, G.; Srinidhi, S.; Priyanka, D. Continuous monitoring of Physiological parameters using PPG. Indian J. Sci. Technol. 2021, 14, 1689–1698. [Google Scholar] [CrossRef]
  5. Godfrey, A.; Stuart, S. (Eds.) Digital Health: Exploring Use and Integration of Wearables; Academic Press: London, UK, 2021. [Google Scholar]
  6. Ebrahimi, Z.; Gosselin, B. Ultralow-Power Photoplethysmography (PPG) Sensors: A Methodological Review. IEEE Sens. J. 2023, 23, 16467–16480. [Google Scholar] [CrossRef]
  7. Seneviratne, S.; Hu, Y.; Nguyen, T.; Lan, G.; Khalifa, S.; Thilakarathna, K.; Hassan, M.; Seneviratne, A. A Survey of Wearable Devices and Challenges. IEEE Commun. Surv. Tutorials 2017, 19, 2573–2620. [Google Scholar] [CrossRef]
  8. Shettar, D.V.; George, S.M.; Gautam, G.; Hiremath, M.S.; Patil, N.G.; Pavithra, L.S. Patient Monitoring Using Wearable Motion Sensor System. In Proceedings of the 2023 International Conference on Network, Multimedia and Information Technology (NMITCON), Bengaluru, India, 1–2 September 2023; pp. 1–6. [Google Scholar] [CrossRef]
  9. Marzorati, D.; Bovio, D.; Salito, C.; Mainardi, L.; Cerveri, P. Chest Wearable Apparatus for Cuffless Continuous Blood Pressure Measurements Based on PPG and PCG Signals. IEEE Access 2020, 8, 55424–55437. [Google Scholar] [CrossRef]
  10. Dheman, K.; Werder, D.; Magno, M. Cardiac monitoring with novel low power sensors measuring upper thoracic electrostatic charge variation for long lasting wearable devices. In Proceedings of the 2022 18th International Conference on Wireless and Mobile Computing, Networking and Communications (WiMob), Thessaloniki, Greece, 10–12 October 2022; pp. 154–159. [Google Scholar] [CrossRef]
  11. Kilani, D.; Mohammad, B.; Alhawari, M.; Saleh, H.; Ismail, M. Power Management for Wearable Electronic Devices; Analog Circuits and Signal Processing; Springer International Publishing: Cham, Switzerland, 2020. [Google Scholar] [CrossRef]
  12. Sherratt, R.S.; Dey, N. Low-Power Wearable Healthcare Sensors. Electronics 2020, 9, 892. [Google Scholar] [CrossRef]
  13. Dieffenderfer, J.; Goodell, H.; Mills, S.; McKnight, M.; Yao, S.; Lin, F.; Beppler, E.; Bent, B.; Lee, B.; Misra, V.; et al. Low-Power Wearable Systems for Continuous Monitoring of Environment and Health for Chronic Respiratory Disease. IEEE J. Biomed. Health Inform. 2016, 20, 1251–1264. [Google Scholar] [CrossRef] [PubMed]
  14. Qaim, W.B.; Ometov, A.; Molinaro, A.; Lener, I.; Campolo, C.; Lohan, E.S.; Nurmi, J. Towards Energy Efficiency in the Internet of Wearable Things: A Systematic Review. IEEE Access 2020, 8, 175412–175435. [Google Scholar] [CrossRef]
  15. Chong, Y.W.; Ismail, W.; Ko, K.; Lee, C.Y. Energy Harvesting For Wearable Devices: A Review. IEEE Sens. J. 2019, 19, 9047–9062. [Google Scholar] [CrossRef]
  16. Huang, B.; Zanetti, R.; Abtahi, A.; Atienza, D.; Aminifar, A. EpilepsyNet: Interpretable Self-Supervised Seizure Detection for Low-Power Wearable Systems. In Proceedings of the 2023 IEEE 5th International Conference on Artificial Intelligence Circuits and Systems (AICAS), Hangzhou, China, 11–13 June 2023; pp. 1–5. [Google Scholar] [CrossRef]
  17. Jegan, R.; Nimi, W.S. On the development of low power wearable devices for assessment of physiological vital parameters: A systematic review. J. Public Health 2024, 32, 1093–1108. [Google Scholar] [CrossRef]
  18. Anzanpour, A.; Rashid, H.; Rahmani, A.M.; Jantsch, A.; Dutt, N.; Liljeberg, P. Energy-efficient and Reliable Wearable Internet-of-Things through Fog-Assisted Dynamic Goal Management. Procedia Comput. Sci. 2019, 151, 493–500. [Google Scholar] [CrossRef]
  19. Lee, J.; Jang, D.H.; Park, S.; Cho, S. A Low-Power Photoplethysmogram-Based Heart Rate Sensor Using Heartbeat Locked Loop. IEEE Trans. Biomed. Circuits Syst. 2018, 12, 1220–1229. [Google Scholar] [CrossRef]
  20. Ruedi, P.F.; Bishof, A.; Augustyniak, M.K.; Persechini, P.; Nagel, J.L.; Pons, M.; Emery, S.; Chetelat, O. Ultra low power microelectronics for wearable and medical devices. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE), Lausanne, Switzerland, 27–31 March 2017; pp. 1426–1431. [Google Scholar] [CrossRef]
  21. Lin, B.; Ma, Z.; Atef, M.; Ying, L.; Wang, G. Low-Power High-Sensitivity Photoplethysmography Sensor for Wearable Health Monitoring System. IEEE Sens. J. 2021, 21, 16141–16151. [Google Scholar] [CrossRef]
  22. Secerbegovic, A.; Gogic, A.; Suljanovic, N.; Zajc, M.; Mujcic, A. Computational Balancing between Wearable Sensor and Smartphone towards Energy-Efficient Remote Healthcare Monitoring. Adv. Electr. Comput. Eng. 2018, 18, 3–10. [Google Scholar] [CrossRef]
  23. Lin, C.C.; Liu, P.; Wu, J.J. Energy-Aware Virtual Machine Dynamic Provision and Scheduling for Cloud Computing. In Proceedings of the 2011 IEEE 4th International Conference on Cloud Computing, Washington, DC, USA, 4–9 July 2011; pp. 736–737. [Google Scholar] [CrossRef]
  24. Sanchez, D.; Lo, D.; Yoo, R.M.; Sugerman, J.; Kozyrakis, C. Dynamic Fine-Grain Scheduling of Pipeline Parallelism. In Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, Galveston, TX, USA, 10–14 October 2011; pp. 22–32. [Google Scholar] [CrossRef]
  25. Vourvoulakis, J.; Bilalis, L. Real-time pulse oximetry extraction using a lightweight algorithm and a task pipeline scheme. In Proceedings of the 2021 10th International Conference on Modern Circuits and Systems Technologies (MOCAST), Thessaloniki, Greece, 5–7 July 2021; pp. 1–5. [Google Scholar] [CrossRef]
  26. Chinnery, D.; Keutzer, K. Pipelining to Reduce the Power. In Closing the Power Gap Between ASIC & Custom; Springer: Boston, MA, USA, 2007; pp. 55–88. [Google Scholar] [CrossRef]
  27. Rodriguez-Zurrunero, R.; Araujo, A. Adaptive frequency scaling strategy to improve energy efficiency in a tick-less Operating System for resource-constrained embedded devices. Future Gener. Comput. Syst. 2021, 124, 230–242. [Google Scholar] [CrossRef]
  28. Duch, L.; Basu, S.; Braojos, R.; Atienza, D.; Ansaloni, G.; Pozzi, L. A multi-core reconfigurable architecture for ultra-low power bio-signal analysis. In Proceedings of the 2016 IEEE Biomedical Circuits and Systems Conference (BioCAS), Shanghai, China, 17–19 October 2016; pp. 416–419. [Google Scholar] [CrossRef]
  29. Radhamani, P.; Seethalakshmi, V.; Ramesh, S.M.; Nithya, S. A Low Power Clock Gated Approximate Pruned and Truncated HDWT for Power-Efficient ECG Signal Processing. In Proceedings of the 2023 International Conference on Distributed Computing and Electrical Circuits and Electronics (ICDCECE), Ballar, India, 29–30 April 2023; pp. 1–5. [Google Scholar] [CrossRef]
  30. Taufique, Z.; Kanduri, A.; Bin Altaf, M.A.; Liljeberg, P. Approximate Feature Extraction for Low Power Epileptic Seizure Prediction in Wearable Devices. In Proceedings of the 2021 IEEE Nordic Circuits and Systems Conference (NorCAS), Oslo, Norway, 26–27 October 2021; pp. 1–7. [Google Scholar] [CrossRef]
  31. Duch, L.; Basu, S.; Braojos, R.; Ansaloni, G.; Pozzi, L.; Atienza, D. HEAL-WEAR: An Ultra-Low Power Heterogeneous System for Bio-Signal Analysis. IEEE Trans. Circuits Syst. I Regul. Pap. 2017, 64, 2448–2461. [Google Scholar] [CrossRef]
  32. Pamula, V.R.; Valero-Sarmiento, J.M.; Yan, L.; Bozkurt, A.; Hoof, C.V.; Helleputte, N.V.; Yazicioglu, R.F.; Verhelst, M. A 172 μW Compressively Sampled Photoplethysmographic (PPG) Readout ASIC with Heart Rate Estimation Directly from Compressively Sampled Data. IEEE Trans. Biomed. Circuits Syst. 2017, 11, 487–496. [Google Scholar] [CrossRef]
  33. Ahmmed, P.; Dieffenderfer, J.; Valero-Sarmiento, J.M.; Pamula, V.R.; Van Helleputte, N.; Van Hoof, C.; Verhelst, M.; Bozkurt, A. A Wearable Wrist-Band with Compressive Sensing based Ultra-Low Power Photoplethysmography Readout Circuit. In Proceedings of the 2019 IEEE 16th International Conference on Wearable and Implantable Body Sensor Networks (BSN), Chicago, IL, USA, 19–22 May 2019; pp. 1–4. [Google Scholar] [CrossRef]
  34. Lal, B.; Gravina, R.; Spagnolo, F.; Corsonello, P. Compressed Sensing Approach for Physiological Signals: A Review. IEEE Sens. J. 2023, 23, 5513–5534. [Google Scholar] [CrossRef]
  35. Zhao, Z.; Nai, Y.; Yu, Z.; Xu, X.; Cao, X.; Gu, X. Design of Low-Power ECG Sampling and Compression Circuit. Appl. Sci. 2023, 13, 3350. [Google Scholar] [CrossRef]
  36. Picariello, F.; Iadarola, G.; Balestrieri, E.; Tudosa, I.; De Vito, L. A novel compressive sampling method for ECG wearable measurement systems. Measurement 2021, 167, 108259. [Google Scholar] [CrossRef]
  37. Hafshejani, E.H.; Elmi, M.; TaheriNejad, N.; Fotowat-Ahmady, A.; Mirabbasi, S. A Low-Power Signal-Dependent Sampling Technique: Analysis, Implementation, and Applications. IEEE Trans. Circuits Syst. I Regul. Pap. 2020, 67, 4334–4347. [Google Scholar] [CrossRef]
  38. Mamaghanian, H.; Ansaloni, G.; Atienza, D.; Vandergheynst, P. Power-efficient joint compressed sensing of multi-lead ECG signals. In Proceedings of the 2014 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), Florence, Italy, 4–9 May 2014; pp. 4409–4412. [Google Scholar] [CrossRef]
  39. Sasai, K.; Izumi, S.; Watanabe, K.; Yano, Y.; Kawaguchi, H.; Yoshimoto, M. A Low-Power Photoplethysmography Sensor using Correlated Double Sampling and Reference Readout Circuit. In Proceedings of the 2019 IEEE SENSORS, Montreal, QC, Canada, 27–30 October 2019; pp. 1–4. [Google Scholar] [CrossRef]
  40. Surrel, G.; Teijeiro, T.; Aminifar, A.; Atienza, D.; Chevrier, M. Event-Triggered Sensing for High-Quality and Low-Power Cardiovascular Monitoring Systems. IEEE Des. Test 2020, 37, 85–93. [Google Scholar] [CrossRef]
  41. Masinelli, G.; Forooghifar, F.; Arza, A.; Atienza, D.; Aminifar, A. Self-Aware Machine Learning for Multimodal Workload Monitoring during Manual Labor on Edge Wearable Sensors. IEEE Des. Test 2020, 37, 58–66. [Google Scholar] [CrossRef]
  42. Forooghifar, F.; Aminifar, A.; Teijeiro, T.; Aminifar, A.; Jeppesen, J.; Beniczky, S.; Atienza, D. Self-Aware Anomaly-Detection for Epilepsy Monitoring on Low-Power Wearable Electrocardiographic Devices. In Proceedings of the 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS), Washington, DC, USA, 6–9 June 2021; pp. 1–4. [Google Scholar] [CrossRef]
  43. Calimera, A.; Macii, A.; Macii, E.; Poncino, M. Power-Gating for Leakage Control and Beyond. In Circuit Design for Reliability; Reis, R., Cao, Y., Wirth, G., Eds.; Springer: New York, NY, USA, 2015; pp. 175–205. [Google Scholar] [CrossRef]
  44. Braojos, R.; Atienza, D.; Aly, M.M.S.; Wu, T.F.; Wong, H.S.P.; Mitra, S.; Ansaloni, G. Nano-engineered architectures for ultra-low power wireless body sensor nodes. In Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, Pittsburgh, PA, USA, 1–7 October 2016; pp. 1–10. [Google Scholar] [CrossRef]
  45. Byun, W.; Kim, J.H. Low Power Wireless SoC Platform for Wearable IoT Applications. Adv. Sci. Lett. 2016, 22, 3256–3259. [Google Scholar] [CrossRef]
  46. Benatti, S.; Rovere, G.; Bosser, J.; Montagna, F.; Farella, E.; Glaser, H.; Schonle, P.; Burger, T.; Fateh, S.; Huang, Q.; et al. A sub-10mW real-time implementation for EMG hand gesture recognition based on a multi-core biomedical SoC. In Proceedings of the 2017 7th IEEE International Workshop on Advances in Sensors and Interfaces (IWASI), Vieste, Italy, 15–16 June 2017; pp. 139–144. [Google Scholar] [CrossRef]
  47. Catania, A.; Gagliardi, F.; Piotto, M.; Bruschi, P.; Dei, M. Ultralow-Power Inverter-Based Delta-Sigma Modulator for Wearable Applications. IEEE Access 2024, 12, 80009–80019. [Google Scholar] [CrossRef]
  48. Nagalakshmi, T.J. An overview of low power technologies and the Alternative Approaches for low power IOT Architecture. In Proceedings of the 2024 Fourth International Conference on Advances in Electrical, Computing, Communication and Sustainable Technologies (ICAECT), Bhilai, India, 11–12 January 2024; pp. 1–6. [Google Scholar] [CrossRef]
  49. Goud, D.S.; Kumar, C.; Devipriya, S.; Dhanalakshmi, S.; Mageshwari, P.L.; Mary, M.A. Low Power Design Techniques for IoT Devices. In Proceedings of the 2024 Ninth International Conference on Science Technology Engineering and Mathematics (ICONSTEM), Chennai, India, 4–5 April 2024; pp. 1–5. [Google Scholar] [CrossRef]
  50. Sun, Y.; Li, Y.Z.; Yuan, M. Requirements, challenges, and novel ideas for wearables on power supply and energy harvesting. Nano Energy 2023, 115, 108715. [Google Scholar] [CrossRef]
  51. Gao, M.; Yao, Y.; Wang, Y.; Wang, B.; Wang, P.; Wang, Y.; Dai, J.; Liu, S.; Torres, J.F.; Cheng, W.; et al. Wearable power management system enables uninterrupted battery-free data-intensive sensing and transmission. Nano Energy 2023, 107, 108107. [Google Scholar] [CrossRef]
  52. Ashwin, M.; Naidu, R.C.A.; Ramamoorthy, R.; Kumar, E.S. IoT-Based Smart Wearable Devices Using very Large Scale Integration (VLSI) Technology. In Soft Computing and Signal Processing; Zen, H., Dasari, N.M., Latha, Y.M., Rao, S.S., Eds.; Lecture Notes in Networks and Systems Series; Springer Nature: Singapore, 2024; Volume 840, pp. 155–164. [Google Scholar] [CrossRef]
  53. Yousri, R.; Elbayoumi, M.; Soltan, A.; Darweesh, M.S. A power-aware task scheduler for energy harvesting-based wearable biomedical systems using snake optimizer. Analog. Integr. Circuits Signal Process. 2023, 115, 183–194. [Google Scholar] [CrossRef]
  54. Janveja, M.; Sharma, A.K.; Bhardwaj, A.; Pidanic, J.; Trivedi, G. An Optimized Low-Power VLSI Architecture for ECG/VCG Data Compression for IoHT Wearable Device Application. IEEE Trans. Large Scale Integr. (VLSI) Syst. 2023, 31, 2008–2015. [Google Scholar] [CrossRef]
  55. Turabimana, P.; Sohn, J.W. Advanced technologies for powering wearable devices. In Smart and Connected Wearable Electronics; Elsevier: Amsterdam, The Netherlands, 2024; pp. 485–510. [Google Scholar] [CrossRef]
  56. Choi, E.; Park, J.; Lee, K.; Lee, J.J.; Han, K.; Lee, W. Day–Night architecture: Development of an ultra-low power RISC-V processor for wearable anomaly detection. J. Syst. Archit. 2024, 152, 103161. [Google Scholar] [CrossRef]
  57. Adawy, A.; Djemal, A.; Wang, L.; Bouattour, G.; Fakhfakh, A.; Kanoun, O. Design of an Energy Efficient Sensor Node for Wearable Applications. In Proceedings of the 2024 IEEE International Instrumentation and Measurement Technology Conference (I2MTC), Glasgow, UK, 20–23 May 2024; pp. 1–6. [Google Scholar] [CrossRef]
  58. Mohamed, S.; Nomer, H.A.A.; Yousri, R.; Mohamed, A.W.; Soltan, A.; Darweesh, M.S. Energy management for wearable medical devices based on gaining–sharing knowledge algorithm. Complex Intell. Syst. 2023, 9, 6797–6811. [Google Scholar] [CrossRef]
  59. Wang, C.; Lu, W.; Narayanan, M.R.; Redmond, S.J.; Lovell, N.H. Low-power technologies for wearable telecare and telehealth systems: A review. Biomed. Eng. Lett. 2015, 5, 1–9. [Google Scholar] [CrossRef]
  60. Lundager, K.; Zeinali, B.; Tohidi, M.; Madsen, J.; Moradi, F. Low Power Design for Future Wearable and Implantable Devices. J. Low Power Electron. Appl. 2016, 6, 20. [Google Scholar] [CrossRef]
  61. Rault, T.; Bouabdallah, A.; Challal, Y.; Marin, F. A survey of energy-efficient context recognition systems using wearable sensors for healthcare applications. Pervasive Mob. Comput. 2017, 37, 23–44. [Google Scholar] [CrossRef]
  62. Yoshimoto, M.; Izumi, S. Recent Progress of Biomedical Processor SoC for Wearable Healthcare Application: A Review. IEICE Trans. Electron. 2019, E102.C, 245–259. [Google Scholar] [CrossRef]
  63. Rong, G.; Zheng, Y.; Sawan, M. Energy Solutions for Wearable Sensors: A Review. Sensors 2021, 21, 3806. [Google Scholar] [CrossRef] [PubMed]
  64. Sinha, N.; Gupta, M. Taxonomy of Wearable Devices: A Systematic Review of Literature. Int. J. Technol. Diffus. 2019, 10, 1–17. [Google Scholar] [CrossRef]
  65. Chaudhary, S.; Kakkar, R.; Jadav, N.K.; Nair, A.; Gupta, R.; Tanwar, S.; Agrawal, S.; Alshehri, M.D.; Sharma, R.; Sharma, G.; et al. A Taxonomy on Smart Healthcare Technologies: Security Framework, Case Study, and Future Directions. J. Sens. 2022, 2022, 1863838. [Google Scholar] [CrossRef]
  66. Yahya Alkhalaf, H.; Yazed Ahmad, M.; Ramiah, H. Self-Sustainable Biomedical Devices Powered by RF Energy: A Review. Sensors 2022, 22, 6371. [Google Scholar] [CrossRef]
  67. Alattar, A.E.; Mohsen, S. A Survey on Smart Wearable Devices for Healthcare Applications. Wirel. Pers. Commun. 2023, 132, 775–783. [Google Scholar] [CrossRef]
  68. Arya, S.; Sharma, A.; Singh, A.; Ahmed, A.; Dubey, A.; Padha, B.; Khan, S.; Mahadeva, R.; Khosla, A.; Gupta, V. Review—Energy and Power Requirements for Wearable Sensors. ECS Sens. Plus 2024, 3, 022601. [Google Scholar] [CrossRef]
  69. Zhu, E. Optimization of low power consumption in wearable health monitoring devices and algorithm design. Appl. Comput. Eng. 2024, 41, 269–274. [Google Scholar] [CrossRef]
  70. Tian, H.; Liu, C.; Hao, H.; Wang, X.; Chen, H.; Ruan, Y.; Huang, J. Recent advances in wearable flexible electronic skin: Types, power supply methods, and development prospects. J. Biomater. Sci. Polym. Ed. 2024, 35, 1455–1492. [Google Scholar] [CrossRef] [PubMed]
  71. Minaoglou, P.; Efkolidis, N.; Manavis, A.; Kyratsis, P. A Review on Wearable Product Design and Applications. Machines 2024, 12, 62. [Google Scholar] [CrossRef]
  72. Pandiev, I.; Tomchev, N.; Kurtev, N.; Aleksandrova, M. Analysis of the Methods for Realization of Low-Power Piezoelectric Energy Harvesting Circuits for Wearable Battery-Free Power Supply Devices. Appl. Sci. 2024, 14, 4792. [Google Scholar] [CrossRef]
  73. Liberati, A.; Altman, D.G.; Tetzlaff, J.; Mulrow, C.; Gøtzsche, P.C.; Ioannidis, J.P.; Clarke, M.; Devereaux, P.J.; Kleijnen, J.; Moher, D. The PRISMA Statement for Reporting Systematic Reviews and Meta-Analyses of Studies That Evaluate Health Care Interventions: Explanation and Elaboration. Ann. Intern. Med. 2009, 151, W-65. [Google Scholar] [CrossRef] [PubMed]
  74. Sodhro, A.H.; Pirbhulal, S.; Sodhro, G.H.; Gurtov, A.; Muzammal, M.; Luo, Z. A Joint Transmission Power Control and Duty-Cycle Approach for Smart Healthcare System. IEEE Sens. J. 2019, 19, 8479–8486. [Google Scholar] [CrossRef]
  75. Jang, D.H.; Cho, S. A 43.4 μW photoplethysmogram-based heart-rate sensor using heart-beat-locked loop. In Proceedings of the 2018 IEEE International Solid-State Circuits Conference—(ISSCC), San Francisco, CA, USA, 11–15 February 2018; pp. 474–476. [Google Scholar] [CrossRef]
  76. Atef, M.; Wang, M.; Wang, G. A Fully Integrated High-Sensitivity Wide Dynamic Range PPG Sensor with an Integrated Photodiode and an Automatic Dimming Control LED Driver. IEEE Sens. J. 2018, 18, 652–659. [Google Scholar] [CrossRef]
  77. Magno, M.; Benini, L.; Spagnol, C.; Popovici, E. Wearable low power dry surface wireless sensor node for healthcare monitoring application. In Proceedings of the 2013 IEEE 9th International Conference on Wireless and Mobile Computing, Networking and Communications (WiMob), Lyon, France, 7–9 October 2013; pp. 189–195. [Google Scholar] [CrossRef]
  78. Tobola, A.; Espig, C.; Streit, F.J.; Korpok, O.; Schmitz, B.; Hofmann, C.; Struck, M.; Weigand, C.; Leutheuser, H.; Eskofier, B.M.; et al. Scalable ECG hardware and algorithms for extended runtime of wearable sensors. In Proceedings of the 2015 IEEE International Symposium on Medical Measurements and Applications (MeMeA) Proceedings, Turin, Italy, 7–9 May 2015; pp. 255–260. [Google Scholar] [CrossRef]
  79. Thinh, T.Q.; Tang, J.; La, Q.D.; Quek, T.Q.S. Offloading in Mobile Edge Computing: Task Allocation and Computational Frequency Scaling. IEEE Trans. Commun. 2017, 65, 3571–3584. [Google Scholar] [CrossRef]
  80. Anzanpour, A.; Azimi, I.; Gotzinger, M.; Rahmani, A.M.; TaheriNejad, N.; Liljeberg, P.; Jantsch, A.; Dutt, N. Self-awareness in remote health monitoring systems using wearable electronics. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE), Lausanne, Switzerland, 27–31 March 2017; pp. 1056–1061. [Google Scholar] [CrossRef]
  81. Forooghifar, F.; Aminifar, A.; Cammoun, L.; Wisniewski, I.; Ciumas, C.; Ryvlin, P.; Atienza, D. A Self-Aware Epilepsy Monitoring System for Real-Time Epileptic Seizure Detection. Mob. Netw. Appl. 2022, 27, 677–690. [Google Scholar] [CrossRef]
  82. Keating, M.; Flynn, D.; Aitken, R.; Gibbons, A.; Shi, K. Power Gating Overview. In Low Power Methodology Manual; Springer: Boston, MA, USA, 2007; pp. 33–40. [Google Scholar] [CrossRef]
  83. Tan, C.; Kulkarni, A.; Venkataramani, V.; Karunaratne, M.; Mitra, T.; Peh, L.S. LOCUS: Low-Power Customizable Many-Core Architecture for Wearables. ACM Trans. Embed. Comput. Syst. 2018, 17, 1–26. [Google Scholar] [CrossRef]
  84. Magno, M.; Polonelli, T.; Casamassima, F.; Gomez, A.; Farella, E.; Benini, L. Energy-Efficient Context Aware Power Management with Asynchronous Protocol for Body Sensor Network. Mob. Netw. Appl. 2017, 22, 814–824. [Google Scholar] [CrossRef]
  85. Karimi, M.; Choi, H.; Wang, Y.; Xiang, Y.; Kim, H. Real-Time Task Scheduling on Intermittently Powered Batteryless Devices. IEEE Internet Things J. 2021, 8, 13328–13342. [Google Scholar] [CrossRef]
  86. Lu, Y.H.; Benini, L.; De Micheli, G. Low-power task scheduling for multiple devices. In Proceedings of the Eighth International Workshop on Hardware/Software Codesign, San Diego, CA, USA, 3–5 May 2000; pp. 39–43. [Google Scholar] [CrossRef]
  87. Dogan, A.Y.; Constantin, J.; Ruggiero, M.; Burg, A.; Atienza, D. Multi-core architecture design for ultra-low-power wearable health monitoring systems. In Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, Germany, 12–16 March 2012; pp. 988–993. [Google Scholar] [CrossRef]
  88. Su, H.; Liu, J.; Jiang, Y. A 40-nm low-power WiFi SoC with clock gating and power management strategy. Int. J. Electron. 2023, 110, 1633–1651. [Google Scholar] [CrossRef]
  89. Macii, E.; Bolzani, L.; Calimera, A.; Macii, A.; Poncino, M. Integrating Clock Gating and Power Gating for Combined Dynamic and Leakage Power Optimization in Digital CMOS Circuits. In Proceedings of the 2008 11th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools, Parma, Italy, 3–5 September 2008; pp. 298–303. [Google Scholar] [CrossRef]
  90. Ajin Roch, A.; Karthik, S.; Arthi, R. Dynamic Programmable Clock Frequency Using Machine Learning Algorithms to Reduce Power Consumption in Wearables. In Bio-Inspired Neurocomputing; Bhoi, A.K., Mallick, P.K., Liu, C.M., Balas, V.E., Eds.; Studies in Computational Intelligence Series; Springer: Singapore, 2021; Volume 903, pp. 337–347. [Google Scholar] [CrossRef]
  91. Chaudhary, H.; Goyal, N.; Sah, N. Dynamic power reduction using clock gating: A review. IJECT Int. J. Electron. Commun. Technol. 2015, 6, 22–26. [Google Scholar]
  92. Fornasier, M.; Rauhut, H. Compressive Sensing. In Handbook of Mathematical Methods in Imaging; Scherzer, O., Ed.; Springer: New York, NY, USA, 2011; pp. 187–228. [Google Scholar] [CrossRef]
  93. Patterson, J.A.C.; Yang, G.Z. Ratiometric Artifact Reduction in Low Power Reflective Photoplethysmography. IEEE Trans. Biomed. Circuits Syst. 2011, 5, 330–338. [Google Scholar] [CrossRef]
  94. Kashou, A.H.; Noseworthy, P.A.; Beckman, T.J.; Anavekar, N.S.; Cullen, M.W.; Angstman, K.B.; Sandefur, B.J.; Shapiro, B.P.; Wiley, B.W.; Kates, A.M.; et al. ECG Interpretation Proficiency of Healthcare Professionals. Curr. Probl. Cardiol. 2023, 48, 101924. [Google Scholar] [CrossRef]
  95. Zhang, Q.; Xie, Q.; Duan, K.; Liang, B.; Wang, M.; Wang, G. A digital signal processor (DSP)-based system for embedded continuous-time cuffless blood pressure monitoring using single-channel PPG signal. Sci. China Inf. Sci. 2020, 63, 149402. [Google Scholar] [CrossRef]
  96. Haddad, S.; Boukhayma, A.; Caizzone, A. Beat-to-Beat Detection Accuracy Using the Ultra Low Power Senbiosys PPG Sensor. In 8th European Medical and Biological Engineering Conference; Jarm, T., Cvetkoska, A., Mahnič-Kalamiza, S., Miklavcic, D., Eds.; IFMBE Proceedings Series; Springer International Publishing: Cham, Switzerland, 2021; Volume 80, pp. 178–188. [Google Scholar] [CrossRef]
  97. Wu, Y.D.; Ruan, S.J.; Lee, Y.H. An Ultra-Low Power Surface EMG Sensor for Wearable Biometric and Medical Applications. Biosensors 2021, 11, 411. [Google Scholar] [CrossRef]
  98. Chaudhuri, A.; Dasgupta, A.; Chakrborty, S.; Routray, A. A low-cost, wearable, portable EOG recording system. In Proceedings of the 2016 International Conference on Systems in Medicine and Biology (ICSMB), Kharagpur, India, 4–7 January 2016; pp. 102–105. [Google Scholar] [CrossRef]
  99. Elsamnah, F.; Bilgaiyan, A.; Affiq, M.; Shim, C.H.; Ishidai, H.; Hattori, R. Comparative Design Study for Power Reduction in Organic Optoelectronic Pulse Meter Sensor. Biosensors 2019, 9, 48. [Google Scholar] [CrossRef]
  100. Izadi, V.; Shahri, P.K.; Ahani, H. A compressed-sensing-based compressor for ECG. Biomed. Eng. Lett. 2020, 10, 299–307. [Google Scholar] [CrossRef] [PubMed]
  101. Hua, J.; Rao, J.; Peng, Y.; Liu, J.; Tang, J. Deep Compressive Sensing on ECG Signals with Modified Inception Block and LSTM. Entropy 2022, 24, 1024. [Google Scholar] [CrossRef] [PubMed]
  102. Wang, L.H.; Zhang, W.; Guan, M.H.; Jiang, S.Y.; Fan, M.H.; Abu, P.A.R.; Chen, C.A.; Chen, S.L. A Low-Power High-Data-Transmission Multi-Lead ECG Acquisition Sensor System. Sensors 2019, 19, 4996. [Google Scholar] [CrossRef] [PubMed]
  103. Ashouei, M.; Hulzink, J.; Konijnenburg, M.; Zhou, J.; Duarte, F.; Breeschoten, A.; Huisken, J.; Stuyt, J.; De Groot, H.; Barat, F.; et al. A voltage-scalable biomedical signal processor running ECG using 13pJ/cycle at 1 MHz and 0.4 V. In Proceedings of the 2011 IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 20–24 February 2011; pp. 332–334. [Google Scholar] [CrossRef]
  104. Elsamnah, F.; Hattori, R.; Affiq, M.; Shim, C.H.; Bilgaiyan, A.; Hattori, R.; Sugawara, R. Reflectance-based monolithic organic pulsemeter device for measuring photoplethysmogram signal. In Proceedings of the 2018 IEEE International Instrumentation and Measurement Technology Conference (I2MTC), Houston, TX, USA, 14–17 May 2018; pp. 1–5. [Google Scholar] [CrossRef]
  105. Magno, M.; Salvatore, G.A.; Jokic, P.; Benini, L. Self-Sustainable Smart Ring for Long-Term Monitoring of Blood Oxygenation. IEEE Access 2019, 7, 115400–115408. [Google Scholar] [CrossRef]
  106. Tesema, W.; Da Silva, B.; Jimma, W.; Stiens, J. Power Saving Techniques for Wearable Devices in Medical Applications. In Proceedings of the IECON 2022—48th Annual Conference of the IEEE Industrial Electronics Society, Brussels, Belgium, 17–20 October 2022; pp. 1–8. [Google Scholar] [CrossRef]
  107. Somappa, L.; Aeron, S.; Menon, A.G.; Sonkusale, S.; Seshia, A.A.; Baghini, M.S. On Quantized Analog Compressive Sensing Methods for Efficient Resonator Frequency Estimation. IEEE Trans. Circuits Syst. I Regul. Pap. 2020, 67, 4556–4565. [Google Scholar] [CrossRef]
  108. Khriji, S.; Chéour, R.; Kanoun, O. Dynamic Voltage and Frequency Scaling and Duty-Cycling for Ultra Low-Power Wireless Sensor Nodes. Electronics 2022, 11, 4071. [Google Scholar] [CrossRef]
  109. Nia, A.M.; Mozaffari-Kermani, M.; Sur-Kolay, S.; Raghunathan, A.; Jha, N.K. Energy-Efficient Long-term Continuous Personal Health Monitoring. IEEE Trans.-Multi Comput. Syst. 2015, 1, 85–98. [Google Scholar] [CrossRef]
Figure 1. The criteria for the eligibility of the paper selection (where n is the number of papers at each step in the inclusion criteria).
Figure 1. The criteria for the eligibility of the paper selection (where n is the number of papers at each step in the inclusion criteria).
Electronics 13 03097 g001
Figure 2. The proposed classification system. Numerous LPTs were identified and grouped based on their shared characteristics.
Figure 2. The proposed classification system. Numerous LPTs were identified and grouped based on their shared characteristics.
Electronics 13 03097 g002
Figure 3. A summary of the publications analyzed by year is presented in the chart, indicating the number of literature selected for analysis according to the eligibility criteria.
Figure 3. A summary of the publications analyzed by year is presented in the chart, indicating the number of literature selected for analysis according to the eligibility criteria.
Electronics 13 03097 g003
Figure 4. The distribution of LPTs for wearable devices focused on biomedical signal applications.
Figure 4. The distribution of LPTs for wearable devices focused on biomedical signal applications.
Electronics 13 03097 g004
Figure 5. Category of energy-saving techniques. The main classifications of the taxonomy are determined by their common characteristics in medical applications and energy-saving methods.
Figure 5. Category of energy-saving techniques. The main classifications of the taxonomy are determined by their common characteristics in medical applications and energy-saving methods.
Electronics 13 03097 g005
Figure 6. Distribution of LPTs applied to bio-signals in wearable medical devices based on the analysed works.
Figure 6. Distribution of LPTs applied to bio-signals in wearable medical devices based on the analysed works.
Electronics 13 03097 g006
Table 1. Comparative analysis of the review papers on LPTs for wearable medical devices in healthcare applications.
Table 1. Comparative analysis of the review papers on LPTs for wearable medical devices in healthcare applications.
RefYearAim of the WorkWearablilityLPTsHealthcareLPT’s ChallengesVital SignsBiosignalsCombining LPTsProposed TaxonomyStrengthsLimitations
[59]2015The work aims to investigate power-reduction technologies in general to minimize the power consumption based on hardware and firmware approaches.✔ *The work addresses power-reduction techniques in terms of hardware, firmware, and communication.The work do not investigate the limitation of each power-reduction approach in wearables.
[17]2015The work aims to review the physiological signals, vital parameters, role and choice of wearables, and their design considerations for the early detection of health conditions.The work discusses the characteristics of physiological signals, vital parameters, and the design factors for wearable devices.The work presents a general overview and does not investigate the power-reduction techniques as it is targeted in their topic.
[60]2016The work aims to design IC for future battery-less wearable and implantable devices that will scavenge energy from thermoelectric generator devices, piezoelectric devices, solar energy, and harvesting.The work addresses both energy harvesting and system-based power-reduction techniques.The limitation of this work is that only a limited number of LPTs are investigated and presented their work.
[61]2017The work aims to provide an idea of an energy-saving solution while designing human context-recognition systems by considering the specific requirements of healthcare applications.✔ *The work presents advanced energy-efficient solutions for recognizing human context, utilizing wearable sensors.The limitation of the proposed classification of energy-efficient mechanisms is very specific and considers only users’ context-recognition systems.
[7]2017The work aims to classify existing commercially available wearable products and review wearable modules, such as communication security, energy efficiency, and wearable computing.The work addresses various techniques for powering wearable devices.The work recommends in-device-based processing algorithms, which consume more power for computational performance and processing on edge devices.
[62]2019The work aims to advance biomedical processor SoCs and integration for healthcare applications. It categorizes and describes LPTs based on communication, computation, and sensing to improve power efficiency.The work advances biomedical processor SoC technology for healthcare applications and the integration of SoC.The work is more specific and the analysis is narrowed to a single sensor, which does not address the overall power consumption of wearable devices.
[64]2019The work presents a detailed analysis of the adoption of wearable devices by considering the existing literature.✔ *The work conducted a comprehensive analysis and explored a lack of awareness of wearable technology.The developed taxonomy is general and does not consider low-power techniques.
[14]2020The work provides a solution for the limited life of batteries by proposing energy-efficiency techniques and a taxonomy that targets a wide area of applications requiring energy efficiency instead of targeting the features of LPTs.✔ *The work presents the most common techniques for reducing power consumption in wearables.The developed taxonomy does not widely investigate the power-reduction techniques along with their bottleneck when applied in wearable devices.
[63]2021The work aims to review the major energy sources used for powering wearables and examine wireless power transfer and hybrid energy sources.The work presents the various sources of energy for powering wearable devices.The limitation of this work is that systematic optimization to minimize power consumption does not get attention as wearables are resource-limited devices.
[65]2022The work presents a taxonomy and an artificial intelligence-driven framework utilizing the 6G network interface to ensure the secure transmission of data between patients and healthcare providers.✔ *The work proposed a comprehensive taxonomy of healthcare technologies, security aspects, and solutions for the smart healthcare system.The proposed taxonomy and framework architecture do not consider power-saving application of resource-constrained devices.
[66]2022The work aims to sustain wearable devices with reliable and long-term power supply using the RF energy-harvesting (RFEH) technique.Radio frequency (RF) energy harvesting can power low-energy devices without relying on traditional batteries.The limitation of this work is that the other most popular power-reduction techniques do not get attention in their work.
[67]2023The work discusses the low power in terms of power supply, wireless technologies, applications, and wearability of the devices.The work addresses the lifetime of the batteries of wearables that remains suitable for systematic optimization to minimize power consumption.The proposed framework does not consider the current existing LPTs applied on the batteries of wearables in real applications.
[50]2023This work presents both the human body and the environment-based energy-harvesting techniques, including solar, thermal, radio frequency (RF) energy, kinetic energy, and biomass energy.The combination of the energy-harvesting system and the micro-energy storage unit enables the continuous power supply of wearables.The work is more focused on energy harvesting, which relies on user movement, leading to variable and unpredictable energy production.
[68]2024The objective of this study is to explore various energy sources utilized for operating wearable devices as well as the diverse obstacles within this particular technological domain.This study addressed the various power sources for wearables in different dimensions.The limitation of this work is that the drawback of the LPTs are not widely investigated in the work.
[69]2024It assesses a low-power design to decrease the power consumption of wearable medical devices, thereby optimizing battery life.This study addresses computation offloading, which is likely to be a core concept of future low-power wearable devices.The study did not address the bottlenecks associated with a computation offloading technique.
[70]2024This work offers an in-depth analysis of the latest progress in wearable e-skin technology, its current stage of development, applications, power supply techniques, and potential for future growth.The work presents a comprehensive overview of recent advances in wearable e-skin technology.In the work, the side effects of the mentioned technique on power consumption reduction techniques are not widely investigated.
[71]2024The aim of the work is the classification of wearable products or devices in various sectors and applications, resulting in the creation of eight different categories.The present study provides a comprehensive analysis of research investigations related to the development, design, and manufacturing of wearable devices and applications in a broader sense.The limitation of the work is that low-power techniques for wearable devices are not widely investigated in the context of wearable devices.
[72]2024The work attempts to present a detailed analysis of different circuit solutions suitable for the implementation of low-power sources used as alternative stand-alone sources of electrical energy.The work provides an in-depth examination of the strategies and techniques used in developing low-power piezoelectric energy-harvesting circuits.The limitation of the work is that the analysis focuses on a few LPTs for powering circuits.
This work Our work aims to analyze and identify the LPTs used to acquire, process, and transmit signals while their effectiveness toward power reduction is evaluated. Classify based on their shared features, present a taxonomy, and identify the barriers and potential improvements in the use of each technique.
✔ indicates that the review achieved the mentioned parameter. ✘ indicates that the review did not achieve the mentioned parameter. * indicates that the work has achieved the mentioned parameter to some extent or partially addressed it.
Table 2. An overview of the taxonomy and the summary of LPTs.
Table 2. An overview of the taxonomy and the summary of LPTs.
CategoriesLPTsDescription of the Techniques
Task schedulingDuty cycle optimizationPeriodically switch the devices on during regular operations and off if not.
Balanced computational workloadDistribute workloads over processors and turn off the machines that are idle after completing tasks.
Task pipelineMultiple tasks are executed in parallel on multi-cores.
Clock managementFrequency scalingDynamically adjusting the clock frequency based on workload demands to achieve significant power savings.
Clock gatingDisabling the clock while the flip-flop is in the inactive state.
Signal compressionCSEfficiently acquiring, reconstructing, and predicting sparse signals.
JCSSignificant signals are recovered from a limited subset of measurements.
CDSReduce the occurrence of low-frequency noise and eliminate any offset caused by direct current.
Knowledge-based adaptive samplingDetermine the optimal sampling frequency by employing adaptive sampling techniques.
Power managementSelf-awarenessThe wearable devices possess the ability to identify and monitor their own power level and operational status.
Self-power managerIt is an approach that employs policies and parameters to regulate power.
Power gatingCutting off the flow of current through unused blocks.
Table 3. Some physiological signals and their applications in wearable devices for healthcare.
Table 3. Some physiological signals and their applications in wearable devices for healthcare.
Biomedical SignalsTechniquesSensor Site, for ExampleApplications of the Physiological Signals
PPGBio-opticalFingerThe changes in blood peripheral circulation that involve volume alterations.
ECGBio-electricChestThe heart’s electrical activity is being recorded.
EMGBio-electricMuscleThe muscles produce electrical currents.
EOGBio-electricEyeIt utilized to monitor fluctuations in the electrical potential of the eye.
EEGBio-electricBrainThe acquisition of brain signals that align with the surface area of the head.
PCGBio-acousticChestIt is used for capturing the sounds emitted by the heart.
Table 4. The integrated utilization of LPTs to minimize power consumption in wearable gadgets.
Table 4. The integrated utilization of LPTs to minimize power consumption in wearable gadgets.
RefYearCombination 1SignalsVital SignsDescription of the Combined Techniques
[89]2008Power & clock gatingThis combination results in the reduction of both the dynamic and static power consumption.
[31]2017
[19]2018Duty cycle and CSPPGHeart rateSleep modes save power during idle periods by using duty cycling, CS efficiently samples at a lower rate to reduce transmission power.
[107]2020CS and frequency scalingECGCS is used to reduce sampling and transmission power combined with frequency scaling to reduce dynamic power consumption.
[37]2020Frequency scaling and sample rate reductionPPG & ECGFrequency scaling reduces clock speed to lower dynamic power consumption, while a low sampling rate (negligible or little effect on signal quality) reduces transmission load.
[27]2021Scheduling and frequency scalingIt dynamically adapts the clock frequency to the optimal level in terms of power consumption at each time, while task scheduling is valuable for resource-constrained devices.
[108]2022Duty cycle and dynamic voltage and frequency scalingThe hybrid power management approach (dynamic voltage and frequency scaling) optimizes operating conditions. Meanwhile, duty cycling reduces the transceiver’s energy consumption.
1 Combination: Integration of different LPTs to minimize power consumption. ‘–’: Vital signs were not incorporated while evaluating the LPTs in the works.
Table 5. Strengths and limitations of utilizing typical LPTs in wearable medical devices.
Table 5. Strengths and limitations of utilizing typical LPTs in wearable medical devices.
TechniquesStrengthLimitations
Duty cycle optimizationFine-tuning of duty cycle to an appropriate value may help transition some components to different low-power modes.The ON and OFF states are controlled by this regulation, rather than computational intensity. Moreover, it might pose a challenge for certain time-critical high-frequency components or sensors.
Balanced computational workloadOptimizing the allocation of resources and effectively distributing services.Wearable devices, having limited processing power can restrict the ability to distribute workloads efficiently, especially when handling complex tasks.
Task pipelineThe assigned task is accomplished by dividing it into more manageable tasks.It could be counterproductive and can increase power consumption due to the simultaneous operation of multiple pipeline stages. Moreover, the priority tasks might not get preference due to pipelined execution of tasks.
Frequency scalingIt reduces the dynamic power consumption associated with clock switching.Results in slower execution of tasks which might be a problem for time-critical high- frequency tasks. Such a trade-off between power savings and performance should be a key consideration.
Clock gatingThis method reduces dynamic power by suspending the clock signal when the circuit is not functioning.It may cause unintended edge transitions, resulting in glitches that can lead to incorrect digital circuit operation. Managing these glitches is challenging.
CSIt reduces power consumption by decreasing both sampling activity and transmission overhead in sparsely represented signals.Causes some additional power consumption for signal reconstruction at the receiving end. Therefore, extra efforts are needed to balance the savings achieved during sampling with the power consumption required for reconstruction.
JCSCommon features, such as sparsity patterns of different signals, can be exploited to jointly compress and reconstruct signals, reducing computational effort and power consumption.The technique is only feasible when multiple signals have some shared features that can be exploited for compression and reconstruction.
CDS A technique is applied to subtract the offset and frequency noises during the measurements, which leads to significant power savings.This technique may not effectively reduce all types of noise like shot noise or random thermal noise.
Knowledge-based adaptive samplingIt reduces sampling activity, transmission load, and computations by acquiring only the most informative samples, thereby lowering power consumption.Continuous analysis of the signal to adapt the sampling rate requires additional power, which has to be balanced against the power savings achieved through reduced sampling.
Self-awarenessThis technique effectively helps wearable technology make power-saving decisions.It requires additional resources, which consumes extra energy and reduces the efficiency of the device.
Self-power managerThis technique sets the policies, parameters, energy level, activity, and observation to manage power consumption dynamically.This technique is feasible only when the policies and parameters are employed to manage power consumption.
Power gatingIt is applied to shut down the power from unused circuits or blocks.Transitioning a power-gated domain from OFF to ON requires time to stabilize the power supply and reinitialize the domain.
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Tesema, W.; Jimma, W.; Khan, M.I.; Stiens, J.; da Silva, B. A Taxonomy of Low-Power Techniques in Wearable Medical Devices for Healthcare Applications. Electronics 2024, 13, 3097. https://doi.org/10.3390/electronics13153097

AMA Style

Tesema W, Jimma W, Khan MI, Stiens J, da Silva B. A Taxonomy of Low-Power Techniques in Wearable Medical Devices for Healthcare Applications. Electronics. 2024; 13(15):3097. https://doi.org/10.3390/electronics13153097

Chicago/Turabian Style

Tesema, Workineh, Worku Jimma, Muhammad Iqbal Khan, Johan Stiens, and Bruno da Silva. 2024. "A Taxonomy of Low-Power Techniques in Wearable Medical Devices for Healthcare Applications" Electronics 13, no. 15: 3097. https://doi.org/10.3390/electronics13153097

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Article metric data becomes available approximately 24 hours after publication online.
Back to TopTop