Optimal Planning of Dynamic Thermal Management for NANS (N-App N-Screen) Services †
Abstract
:1. Introduction
- Firstly, we propose a novel thermal prediction method especially designed for NANS services. For this, we extend the existing thermal model by identifying the major heat sources in NANS technology and considering the thermal interaction between these heat sources. Also, our proposed thermal prediction method additionally models our new finding—the abrupt changes of temperature, i.e., thermal jumps or drops, when changing the operating frequency of a multi-core CPU.
- Secondly, we design a novel DTM technique that provides sustainable NANS services close to but below the thermal threshold. For this, using our thermal prediction method, we jointly optimize App-Core mapping and the core frequency control such that the overall QoS of the given NANS service scenario is maximized under the thermal constraints.
2. Related Work
2.1. Thermal Prediction Methods for Mobile Devices
2.2. Dynamic Thermal Management for Mobile Devices
3. Thermal Issue vs. Battery Issue in NANS Services
4. Thermal Prediction Method for NANS Services
4.1. Offline Thermal Model Construction
4.1.1. Extension of Existing Thermal Model
- First, the smartphone usually has thermal sensors for each CPU core for measuring , but does not have thermal sensors for Miracast chipset and HDMI chipset. So, and cannot be measured.
- Second, while the CPU cores’ power-consumption can be indirectly measured from the core frequencies by the well-studied CPU power model, there are no such power models for the Miracast and HDMI chipsets. Thus, and cannot be measured.
4.1.2. Modeling of Thermal Jumps and Drops
4.2. Online Thermal Prediction
5. Proposed Thermal Planning Mechanism
- The greedy DTM does not consider frequency-sensitivity of different applications running on different cores. Intuitively, it would be better to reduce the frequency of a core with less frequency-sensitive applications. Regardless of applications running on those cores, greedy DTM reduces the core frequencies in the same way.
- Non-greedy long-term planning: Rather than the greedy usage of the thermal budget, we make a frequency change plan of the CPU cores for a long-term -window such that the current set of applications gives the largest overall QoS for the -window while preventing thermal violation during that window. Figure 11 conceptually depicts how our long-term planning can provide better overall QoS than the greedy DTM. The greedy DTM allows the largest frequencies for the largest QoS in the beginning (see dashed lines from time 0 to 9), and it makes the temperature quickly reach the thermal threshold and hence core frequency control is activated early. Thus, the overall QoS is limited as depicted by the size of the dark-grey area in Figure 11. On the other hand, our planning starts from lower frequencies and hence the QoS can be lower in the beginning. Instead, the temperature increase is slower and hence core frequency control is activated later. As a result, the overall QoS is larger as depicted by the size of the light-grey area in Figure 11.
- Apps’ frequency-QoS sensitivity consideration: Our thermal planning carefully considers the frequency-QoS characteristics of each application to near-optimally find the App-Core mapping and core frequencies. Figure 12 conceptually depicts how such consideration can provide better overall QoS than the greedy DTM. In the figure, the greedy DTM maps applications to CPU cores in the order of their launch times without considering their QoS-sensitivities. On the other hand, our thermal planning maps one frequency sensitive application to core and two frequency insensitive applications and to core and reduces ’s frequency more to prevent the thermal violation. This way, when the core frequencies are stabilized such that the core temperature is maintained right below the thermal threshold in both cases of the greedy DTM and our thermal planning, the overall sum of QoSs is larger in our thermal planning.
5.1. Overall Process of Thermal Planning
5.2. Problem Formulation
5.3. Our Proposed Heuristic Optimization Algorithm
5.3.1. QoS-Sensitivity Based App-Core Mapping
5.3.2. Most-Likely Neighbor Search for Core Frequencies
6. Experimental Results
6.1. Experimental Environment
6.2. Experiments on Thermal Prediction Methods
- Bhat’s method is based on the accurate Compact Thermal Model (CTM) [18]. Thus, it provides quite accurate thermal prediction when only the CPU cores are heat sources. In contrast, when display chipsets become major heat sources as the case with NANS services, their thermal impacts cannot be considered due to the lack of their CTM thermal parameters like thermal resistances and thermal capacitances.
- Paterna’s method is based on a rather simple self-designed thermal model that uses online observable operating parameters such as core frequencies and the usage of display chipsets instead of thermal resistances and thermal capacitances. Thus, it is more practical to use for thermal prediction with NANS services which considers both CPU cores and display chipsets as heat sources. In contrast, due to the model inaccuracy, its thermal prediction accuracy is limited.
6.3. Experiments on Dynamic Thermal Management Techniques
- CPU throttling is the default mechanism most commonly used in current commercial smartphones. It throttles (i.e., reduces) the CPU core frequencies step-by-step whenever the actual CPU core temperature reaches the conservatively defined level without considering the QoS of the running applications.
- Greedy DTM most aggressively runs applications with the highest possible CPU core frequency aiming at providing the best possible QoS. It reduces the CPU core frequency only when the 1 s short-term predicted temperature is about to exceed the thermal threshold. For the 1 s thermal prediction, we use our proposed thermal prediction method in order to avoid the effect by differences in the thermal prediction method.
- Our proposed thermal planning uses QoS-sensitivity based App-Core mapping and most-likely neighbor search-based core frequency planning. It uses a 60 s -window for thermal planning.
- Exhaustive-search-based planning is an unrealistic mechanism that shows the optimally achievable QoS if we have sufficient time to find the real optimal solution for our optimization problem in Section 5.2. For all combinations of applications we use in our experiments, we find offline the optimal solution by the exhaustive search on a high performance PC. In the actual online experiments, we simply apply such solutions found offline for the experimental NANS service scenarios.
7. Conclusions
Author Contributions
Funding
Conflicts of Interest
References
- Miracast, WiFi Alliance. Available online: http://www.wi-fi.org/wi-fi-certified-miracast (accessed on 25 September 2018).
- High Definition Multimedia Interface (HDMI), HDMI Licensing, LLC. Available online: https://www.hdmi.org (accessed on 25 September 2018).
- NANS Project for Android, Real-Time Ubiquitous Systems (RUBIS) Laboratory at Seoul National University. Available online: https://doi.org/10.5281/zenodo.1476811 (accessed on 25 September 2018).
- Sahin, O.; Coskun, A.K. Providing Sustainable Performance in Thermally Constrained Mobile Devices. In Proceedings of the 14th ACM/IEEE Symposium on Embedded Systems for Real-Time Multimedia ESTIMedia’16, Pittsburgh, PA, USA, 6–7 October 2016; ACM: New York, NY, USA, 2016; pp. 72–77. [Google Scholar] [CrossRef]
- Pedram, M.; Nazarian, S. Thermal Modeling, Analysis, and Management in VLSI Circuits: Principles and Methods. Proc. IEEE 2006, 94, 1487–1501. [Google Scholar] [CrossRef] [Green Version]
- Xie, Q.; Kim, J.; Wang, Y.; Shin, D.; Chang, N.; Pedram, M. Dynamic thermal management in mobile devices considering the thermal coupling between battery and application processor. In Proceedings of the 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Jose, CA, USA, 18–21 November 2013; pp. 242–247. [Google Scholar] [CrossRef]
- Dousti, M.J.; Ghasemi-Gol, M.; Nazemi, M.; Pedram, M. ThermTap: An online power analyzer and thermal simulator for Android devices. In Proceedings of the 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), Rome, Italy, 22–24 July 2015; pp. 341–346. [Google Scholar] [CrossRef]
- Paterna, F.; Rosing, T.V. Modeling and Mitigation of Extra-SoC Thermal Coupling Effects and Heat Transfer Variations in Mobile Devices. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design ICCAD ’15, Austin, TX, USA, 2–6 November 2015; IEEE Press: Piscataway, NJ, USA, 2015; pp. 831–838. [Google Scholar]
- Singla, G.; Kaur, G.; Unver, A.K.; Ogras, U.Y. Predictive dynamic thermal and power management for heterogeneous mobile platforms. In Proceedings of the 2015 Design, Automation Test in Europe Conference Exhibition (DATE), Grenoble, France, 9–13 March 2015; pp. 960–965. [Google Scholar] [CrossRef]
- Sharifi, S.; Krishnaswamy, D.; Rosing, T.S. PROMETHEUS: A Proactive Method for Thermal Management of Heterogeneous MPSoCs. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2013, 32, 1110–1123. [Google Scholar] [CrossRef]
- Bhat, G.; Singla, G.; Unver, A.K.; Ogras, U.Y. Algorithmic Optimization of Thermal and Power Management for Heterogeneous Mobile Platforms. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2018, 26, 544–557. [Google Scholar] [CrossRef]
- Gong, Y.H.; Yoo, J.J.; Chung, S.W. Thermal Modeling and Validation of a Real-World Mobile AP. IEEE Des. Test Comput. 2018, 35, 55–62. [Google Scholar] [CrossRef]
- Egilmez, B.; Memik, G.; Ogrenci-Memik, S.; Ergin, O. User-specific Skin Temperature-aware DVFS for Smartphones. In Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition DATE ’15, Grenoble, France, 9–13 March 2015; EDA Consortium: San Jose, CA, USA, 2015; pp. 1217–1220. [Google Scholar]
- Ferroni, M.; Nacci, A.A.; Turri, M.; Santambrogio, M.D.; Sciuto, D. Experimental Evaluation and Modeling of Thermal Phenomena on Mobile Devices. In Proceedings of the 2015 Euromicro Conference on Digital System Design, Madeira, Portugal, 26-28 August 2015; pp. 306–313. [Google Scholar] [CrossRef]
- Paterna, F.; Zanotelli, J.; Rosing, T.S. Ambient Variation-tolerant and Inter Components Aware Thermal Management for Mobile System on Chips. In Proceedings of the Conference on Design, Automation & Test in Europe DATE ’14, Dresden, Germany, 24–28 March 2014; European Design and Automation Association: Leuven, Belgium, 2014; pp. 1–6. [Google Scholar]
- Mercati, P.; Rosing, T.S.; Hanumaiah, V.; Kulkarni, J.; Bloch, S. User-centric joint power and thermal management for smartphones. In Proceedings of the 6th International Conference on Mobile Computing, Applications and Services, Austin, TX, USA, 6–7 November 2014; pp. 98–105. [Google Scholar] [CrossRef]
- Sahin, O.; Coskun, A.K. On the Impacts of Greedy Thermal Management in Mobile Devices. IEEE Embed. Syst. Lett. 2015, 7, 55–58. [Google Scholar] [CrossRef]
- Huang, W.; Stan, M.R.; Skadron, K.; Sankaranarayanan, K.; Ghosh, S.; Velusam, S. Compact Thermal Modeling for Temperature-aware Design. In Proceedings of the 41st Annual Design Automation Conference DAC ’04, San Diego, CA, USA, 7–11 June 2004; ACM: New York, NY, USA, 2004; pp. 878–883. [Google Scholar] [CrossRef]
- Skadron, K.; Stan, M.R.; Sankaranarayanan, K.; Huang, W.; Velusamy, S.; Tarjan, D. Temperature-aware Microarchitecture: Modeling and Implementation. ACM Trans. Archit. Code Optim. 2004, 1, 94–125. [Google Scholar] [CrossRef]
- Kahng, A.B.; Kang, S.; Rosing, T.; Strong, R. TAP: Token-based Adaptive Power Gating. In Proceedings of the 2012 ACM/IEEE International Symposium on Low Power Electronics and Design ISLPED ’12, Redondo Beach, CA, USA, 30 July–1 August 2012; ACM: New York, NY, USA, 2012; pp. 203–208. [Google Scholar] [CrossRef]
- Kahng, A.B.; Kang, S.; Rosing, T.S.; Strong, R. Many-Core Token-Based Adaptive Power Gating. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2013, 32, 1288–1292. [Google Scholar] [CrossRef]
- Kim, J.M.; Kim, Y.G.; Chung, S.W. Stabilizing CPU Frequency and Voltage for Temperature-Aware DVFS in Mobile Devices. IEEE Trans. Comput. 2015, 64, 286–292. [Google Scholar] [CrossRef]
- Khdr, H.; Ebi, T.; Shafique, M.; Amrouch, H.; Henkel, J. mDTM: Multi-objective Dynamic Thermal Management for On-chip Systems. In Proceedings of the Conference on Design, Automation & Test in Europe DATE ’14, Dresden, Germany, 24–28 March 2014; European Design and Automation Association: Leuven, Belgium, 2014; pp. 1–6. [Google Scholar]
- Brooks, D.; Martonosi, M. Dynamic thermal management for high-performance microprocessors. In Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA), Nuevo Leon, Mexico, 19–24 January 2001; pp. 171–182. [Google Scholar] [CrossRef]
- Yim, M.K.; Lee, K.M.; Han, T.H. Surface temperature-aware thermal management technique for mobile devices. IEICE Electron. Express 2014, 11, 20140944. [Google Scholar] [CrossRef]
- Hanumaiah, V.; Desai, D.; Gaudette, B.; Wu, C.J.; Vrudhula, S. STEAM: A Smart Temperature and Energy Aware Multicore Controller. ACM Trans. Embed. Comput. Syst. 2014, 13, 151. [Google Scholar] [CrossRef]
- Das, A.; Al-Hashimi, B.M.; Merrett, G.V. Adaptive and Hierarchical Runtime Manager for Energy-Aware Thermal Management of Embedded Systems. ACM Trans. Embed. Comput. Syst. 2016, 15, 24. [Google Scholar] [CrossRef]
- Das, A.; Merrett, G.V.; Tribastone, M.; Al-Hashimi, B.M. Workload Change Point Detection for Runtime Thermal Management of Embedded Systems. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2016, 35, 1358–1371. [Google Scholar] [CrossRef]
- Prakash, A.; Amrouch, H.; Shafique, M.; Mitra, T.; Henkel, J. Improving Mobile Gaming Performance Through Cooperative CPU-GPU Thermal Management. In Proceedings of the 53rd Annual Design Automation Conference DAC ’16, Austin, TX, USA, 5–9 June 2016; ACM: New York, NY, USA, 2016; pp. 1–6. [Google Scholar] [CrossRef]
- Sahin, O.; Varghese, P.T.; Coskun, A.K. Just enough is more: Achieving sustainable performance in mobile devices under thermal limitations. In Proceedings of the 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, USA, 2–6 November 2015; pp. 839–846. [Google Scholar] [CrossRef]
- Sahin, O.; Coskun, A.K. QScale: Thermally-efficient QoS management on heterogeneous mobile platforms. In Proceedings of the 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, USA, 7–10 November 2016; pp. 1–8. [Google Scholar] [CrossRef]
- Sahin, O.; Thiele, L.; Coskun, A.K. MAESTRO: Autonomous QoS Management for Mobile Applications under Thermal Constraints. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2018. [Google Scholar] [CrossRef]
- Sharifi, S.; Coskun, A.K.; Rosing, T.S. Hybrid dynamic energy and thermal management in heterogeneous embedded multiprocessor SoCs. In Proceedings of the 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC), Taipei, Taiwan, 18–21 January 2010; pp. 873–878. [Google Scholar] [CrossRef]
- Sharifi, S.; Ayoub, R.; Rosing, T.S. TempoMP: Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs. In Proceedings of the Conference on Design, Automation and Test in Europe DATE ’12, Dresden, Germany, 12–16 March 2012; EDA Consortium: San Jose, CA, USA, 2012; pp. 593–598. [Google Scholar]
- Kim, Y.G.; Kim, M.; Kim, J.M.; Chung, S.W. M-DTM: Migration-based dynamic thermal management for heterogeneous mobile multi-core processors. In Proceedings of the 2015 Design, Automation Test in Europe Conference Exhibition (DATE), Grenoble, France, 9–13 March 2015; pp. 1533–1538. [Google Scholar]
- Alsafrjalani, M.H.; Adegbija, T. TaSaT: Thermal-Aware Scheduling and Tuning Algorithm for Heterogeneous and Configurable Embedded Systems. In Proceedings of the 2018 on Great Lakes Symposium on VLSI GLSVLSI ’18, Chicago, IL, USA, 23–25 May 2018; ACM: New York, NY, USA, 2018; pp. 75–80. [Google Scholar] [CrossRef]
- Google Nexus 5, Google Inc. Manufactured by LG Electronics. Available online: http://www.lg.com/uk/mobile-phones/lg-D821 (accessed on 25 September 2018).
- Android Open Source Project, Google Inc. Available online: https://source.android.com (accessed on 25 September 2018).
- Trepn Profiler (version 6.2), Qualcomm Innovation Center, Inc. Available online: https://developer.qualcomm.com/software/trepn-power-profiler (accessed on 25 September 2018).
- MX Player (Version 1.9.19), J2 Interactive. Available online: https://play.google.com/store/apps/details?id=com.mxtech.videoplayer.ad&hl=en (accessed on 25 September 2018).
- Idina Menzel—Let It Go, Walt Disney Records. Available online: https://doi.org/10.5281/zenodo.1477458 (accessed on 25 September 2018).
- Google Gallery in Hammerhead Factory Image Version 5.1.1 (LMT48M) for Google Nexus 5 (LG-D821), Google Inc. Available online: https://developers.google.com/android/images#hammerhead (accessed on 25 September 2018).
- Wallpapers of Google Nexus 5 (LG-D821), Google Inc. Available online: https://doi.org/10.5281/zenodo.1477461 (accessed on 25 September 2018).
- Kwon, O. Service Termination in NANS Services; Technical Article; Real-Time Ubiquitous Systems (RUBIS) Laboratory at Seoul National University: Seoul, Korea, 2018; Available online: https://doi.org/10.5281/zenodo.1476817 (accessed on 25 September 2018).
- Reeder, R. Designing Power Supplies for High Speed ADC; Technical Article; Analog Devices Inc.: Norwood, MA, USA, 2012. [Google Scholar]
- Moser, M.; Jokanovic, D.P.; Shiratori, N. An algorithm for the multidimensional multiple-choice knapsack problem. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 1997, 80, 582–589. [Google Scholar]
- Thermal Incubator C-IB2, Chang-Shin Science. Available online: http://www.changshin-lab.com/data/category/1488168310.pdf (accessed on 25 September 2018).
- Cleger-Tamayo, S.; Fernández-Luna, J.M.; Huete, J.F. On the Use of Weighted Mean Absolute Error in Recommender Systems. In Proceedings of the Workshop on Recommendation Utility Evaluation: Beyond RMSE (RUE 2012), with ACM RecSys 2012, Dublin, Ireland, 9 September 2012; pp. 24–26. [Google Scholar]
- Alsafrjalani, M.H.; Gordon-Ross, A. Quality of service-aware, scalable cache tuning algorithm in consumer-based embedded devices. In Proceedings of the International Great Lakes Symposium on VLSI (GLSVLSI), Boston, MA, USA, 18–20 May 2016; pp. 357–360. [Google Scholar] [CrossRef]
- QoS Values and QoS Functions, Real-Time Ubiquitous Systems (RUBIS) Laboratory at Seoul National University. Available online: https://doi.org/10.5281/zenodo.1476815 (accessed on 25 September 2018).
- Alsafrjalani, M.H.; Gordon-Ross, A. Scheduling and Tuning for Low Energy in Heterogeneous and Configurable Multicore Systems. Computers 2018, 7, 25. [Google Scholar] [CrossRef]
Type | Bhat’s Method | Paterna’s Method | Our Method |
---|---|---|---|
True positive | 23/30 (76.7%) | 9/30 (30.0%) | 29/30 (96.7%) |
False positive | 0/1509 (0.0%) | 0/1509 (0.0%) | 0/1509 (0.0%) |
True negative | 1509/1509 (100.0%) | 1509/1509 (100.0%) | 1509/1509 (100.0%) |
False negative | 7/30 (23.3%) | 21/30 (70.0%) | 1/30 (3.3%) |
Category (QoS Metric) | Application | Description on QoS Metric |
---|---|---|
Execution-oriented (Execution time) | FFT | The time it takes to perform the FFT on randomly generated data 10,000 times |
Matrix Multiplier | The time it takes to perform matrix multiplication on randomly generated matrices 10,000 times | |
SHA256 | The time it takes to encrypt a randomly generated plain text with SHA256 10,000 times | |
FPS-sensitive (FPS) | MXPlayer | FPS when the application plays a video file using hardware renderer |
SlideShow | FPS when the application plays a slide show of randomly selected photos | |
VLC Player | FPS when the application plays a video file using software renderer | |
Response-sensitive (Response time) | SubwaySurf | The time it takes to load the application |
Chrome | The page load time for http://m.naver.com | |
The time it takes to load the application |
Scenario Type | Exhaustive-Search Based Algorithm on PC | Our Algorithm on Smartphone |
---|---|---|
3-App scenario | 2,818,969 ms (46 min) | 57 ms |
4-App scenario | 8,895,283 ms (148 min) | 120 ms |
5-App scenario | 23,399,420 ms (390 min) | 417 ms |
© 2018 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (http://creativecommons.org/licenses/by/4.0/).
Share and Cite
Kwon, O.; Jang, W.; Kim, G.; Lee, C.-G. Optimal Planning of Dynamic Thermal Management for NANS (N-App N-Screen) Services. Electronics 2018, 7, 311. https://doi.org/10.3390/electronics7110311
Kwon O, Jang W, Kim G, Lee C-G. Optimal Planning of Dynamic Thermal Management for NANS (N-App N-Screen) Services. Electronics. 2018; 7(11):311. https://doi.org/10.3390/electronics7110311
Chicago/Turabian StyleKwon, Ohchul, Wonjae Jang, Giyeon Kim, and Chang-Gun Lee. 2018. "Optimal Planning of Dynamic Thermal Management for NANS (N-App N-Screen) Services" Electronics 7, no. 11: 311. https://doi.org/10.3390/electronics7110311
APA StyleKwon, O., Jang, W., Kim, G., & Lee, C. -G. (2018). Optimal Planning of Dynamic Thermal Management for NANS (N-App N-Screen) Services. Electronics, 7(11), 311. https://doi.org/10.3390/electronics7110311