Next Article in Journal
CNN2Gate: An Implementation of Convolutional Neural Networks Inference on FPGAs with Automated Design Space Exploration
Previous Article in Journal
A Two-Stage X-Band 20.7-dBm Power Amplifier in 40-nm CMOS Technology
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A Compact and Robust Technique for the Modeling and Parameter Extraction of Carbon Nanotube Field Effect Transistors

by
Laura Falaschetti
1,*,†,
Davide Mencarelli
1,†,
Nicola Pelagalli
1,†,
Paolo Crippa
1,†,
Giorgio Biagetti
1,†,
Claudio Turchetti
1,†,
George Deligeorgis
2,† and
Luca Pierantoni
1,†
1
Department of Information Engineering, Marche Polytechnic University, 60131 Ancona, Italy
2
Microelectronics Research Group (MRG), Institute of Electronic Structure and Laser (IESL), Foundation for Research & Technology Hellas (FORTH), 70013 Crete, Greece
*
Author to whom correspondence should be addressed.
These authors contributed equally to this work.
Electronics 2020, 9(12), 2199; https://doi.org/10.3390/electronics9122199
Submission received: 26 October 2020 / Revised: 9 December 2020 / Accepted: 16 December 2020 / Published: 20 December 2020
(This article belongs to the Section Microelectronics)

Abstract

:
Carbon nanotubes field-effect transistors (CNTFETs) have been recently studied with great interest due to the intriguing properties of the material that, in turn, lead to remarkable properties of the charge transport of the device channel. Downstream of the full-wave simulations, the construction of equivalent device models becomes the basic step for the advanced design of high-performance CNTFET-based nanoelectronics circuits and systems. In this contribution, we introduce a strategy for deriving a compact model for a CNTFET that is based on the full-wave simulation of the 3D geometry by using the finite element method, followed by the derivation of a compact circuit model and extraction of equivalent parameters. We show examples of CNTFET simulations and extract from them the fitting parameters of the model. The aim is to achieve a fully functional description in Verilog-A language and create a model library for the SPICE-like simulator environment, in order to be used by IC designers.

1. Introduction

An intrinsic carbon nanotube (CNT) under a low voltage bias is characterized by ballistic or near-ballistic transport related to the very long mean free path. This quasi one-dimensional (1D) structure provides improved electrostatic control over the channel region with respect to the 3D (e.g., bulk CMOS) and 2D devices. The above properties place the carbon-nanotube field-effect transistors (CNTFETs) among the best candidate devices for extending or complementing traditional silicon CMOS IC technology. Thus, recently, the research and interest of CNTFETs have been continuously growing owing to their high potential for future applications.
The 1D transport and the consequent low scattering rate of charge carriers in CNTs could easily grant low noise, low power dissipation, and low signal distortion due to the inherently high linearity of the current–voltage characteristics [1]. In addition, chemical stability and high thermal dissipation could further promote CNT as one of the possible building blocks for carbon based electronics [2,3,4].
A computationally efficient and accurate compact model describing the CNTFET behavior is of paramount importance in the design of analog circuit applications for communication systems.
Thus far, most CNTFET compact models have been developed for digital circuit design. For analog circuit design, an efficient and compact model needs to satisfy several stringent requirements such as high-order continuity of all bias-dependent model equations and smooth geometry scaling. Additionally, the extraction of (geometrical and non geometrical) parameters is typically more demanding and complex.
Deng et al. [5] presented for the intrinsic channel region of a MOSFET-like single-walled CNTFET a compact and efficient circuit model. The proposed CNTFET model is valid for large variations in CNT chirality and diameter and for CNTFET with either metallic or semiconducting CNT active region (channel). It represents an excellent starting point toward a general CNTFET model that implements at a circuit level a number or practical device non-idealities (such as the elastic scattering in the channel region, the resistive doped source/drain, the Schottky-barrier resistance, and the parasitic gate capacitances) with HSPICE [6].
In [7], a compact and efficient CNTFET model focusing on both intrinsic and extrinsic device properties (e.g., tunneling current and parasitic capacitances) was firstly introduced for future implementation in SPICE or Verilog-A. It is based on the virtual-source (VS) approach consisting of a semi-empirical model applicable to MOSFETs and depending on a large amount of reproducible data to extract device empirical parameters [8]. In the latter work, the CNTFET empirical parameters were related to the device geometries and structures, such as gate and contact length, to enable projections that reflect changes in the device design, while the remaining parameters were extracted from a few sets of experimental data. This model captures CNTFET physical properties which are relevant in the circuit design and optimization.
In [9], the intrinsic elements of a compact CNTFET model based on the VS modeling were developed. The model is able to consider the dimensional scaling effects and can be used to evaluate the influence of the CNT diameter on the intrinsic device performance. The VS-CNTFET model was implemented in Verilog-A, and it can run smoothly in SPICE or SPICE-like environments because all the equations are analytical with no numerical iterations, and the output current is differentiable throughout all the operating regions.
Finally, a more comprehensive analysis that includes the non-ideal contacts and tunneling leakage effects was implemented in [10]
In the present work, we propose a compact and versatile model for the analysis and simulation of the FET, based on the following steps: (i) full-wave modeling of a given architecture (3D geometry and related technology files) by using a finite element solver based on the combination of COMSOL Multiphysics and MATLAB. The active region, e.g., the channel is an assembly of semiconductive nanotubes, be they single-wall (SW) or multi-wall (MW). In this region, (ii) we solve the Schrödinger equation and derive the charge transport for the CNT layer(s) of given size, chirality, intrinsic doping, and kind of contacts with metal electrodes. We can deal with the ballistic regime, as well as extend the model to the limit of a diffusive (non ballistic) regime [11]. The output results of the 3D full-wave simulations are the input data for deriving the customized equivalent circuit model. In particular, (iii) we modify the virtual-source CNTFET (VS-CNTFET) model in [9,10] in order to fit it to the COMSOL implementation of the proposed CNTFET architecture. Self-consistently, (iv) we extract from the simulation results the physical (geometrical) and non-physical (fitting) parameters for the customized virtual source CNTFET SW model in order to obtain a Verilog-A description of this model suitable for analog circuit design.

2. Full-Wave Simulation

We consider a typical configuration of a CNTFET, whose geometry and material parameters (see Table 1) are of the order of magnitude of the fabricated devices reported in [12,13,14]. We built up a computational platform in which we interface (i) the full-wave COMSOL solver together with (ii) a Poisson–Schrödinger home-made solver. The former (i) deals with complex geometries together with material properties, the latter (ii) allows for solving self-consistently the Poisson–Schrödinger equation (written in Matlab) in the CNT active region.
The Poisson’s equation along the tube is solved by COMSOL and is written as follows:
d 2 V d ρ 2 + 1 ρ d V d ρ + d V d y 2 = Q ϵ
where V is the electric potential, ρ = x 2 + z 2 is the radial distance from the symmetry axis of the tube, y is the direction parallel to the symmetry axis, Q is the nanotube linear charge density, and ϵ is the dielectric constant of the tube.
While the steady-state Schrödinger equation is given by:
d 2 ψ h , e d y 2 = 2 m ħ 2 ( E U h , e ) ψ h , e
in which ψ h , e is the wave-function of holes/electrons, m is the effective mass of the particle, is the reduced Planck constant, and E represents the energy of the particle displaced inside an electric potential U h , e . The above mathematical platform is able to provide a self-consistent description of electron/holes transport [1] by means of a transmission line formalism modeling the carrier–waves propagation and their coupling to the external end self-induced voltage.
The model geometry consists of a 10 nm thick single slice W of the whole device, with periodic conditions along the x-axis direction. A defined potential is applied to the metal contacts; in the tube region, the space charge density is defined, while, for the remaining domains, a charge conservation condition is imposed. Figure 1 shows the analysed CNTFET geometrical configuration.
Data computation proceeds as follows:
  • The Matlab procedure calculates the charge inside the tube, considering a null electric potential, and then provides it to COMSOL as an input;
  • COMSOL calculates the electric potential in each point of the device, including the nanotube;
  • The electric potential along the tube is provided to the Matlab procedure, then the loop is repeated until convergence is reached.
Regarding the choice of the full-wave COMSOL solver as a simulation method for the CNTFET process, we specify that the full-3D simulation used as a numerical reference is characterized by some specific approximations or ideal assumptions in relation to charge transport in the CNT channels, such as, for instance, less crystal defects and the absence of substrate effects. However, the COMSOL platform, for self-consistent calculation of charge transport in carbon nanotubes, is a powerful tool that can account, in principle, for several parasitic effects, such as stray capacitances, current leakage, and cross-coupling between different CNTs. Additionally, the CNTFET can be characterized both in DC conditions and at high operation frequency, for large bandwidth characterization. However, COMSOL is not the only tool used to perform our calculations, in fact, in order to provide a more accurate and complete modeling of the device, the above simulations include, in the same computational framework, many quantum effects, such as carrier interference, tunneling, self-consistent potential, coupling with metal contacts, and contribution of quantum capacitance/resistance, owing to a direct live-link to Fortran and Matlab in-house code, which is particularly suited for coherent transport analysis, as widely reported in previous work (e.g., [1]). Such kind of analysis in mainly needed to provide a starting point for calibration and numerical tests of compact models of CNTFETs, but further extension will be possible in the near future, with the additional contribution of experimental data.

3. Formulation of the Compact CNTFET Model

Various carbon nanotube FET (CNTFET) models have been reported in recent years [15,16,17,18,19,20,21,22]; however, some of them use simplifications, making it questionable when evaluating the transient response and device dynamic performance, while other models are described in terms of an integral function that requires intensive calculation efforts, making it difficult to implement in circuit simulators like SPICE. Recently, two very effective models for CNT have been developed at Stanford [5,6,9,10]: (i) a circuit-compatible SPICE model for CNTFET, (ii) a compact virtual source model for CNTFET. A brief description of these two models is reported in the following.

3.1. A Circuit Compatible SPICE Model for CNTFET

In this case, the device modeling has been developed with reference to the 3D structure of CNTFET illustrated in Figure 2.
The model is described hierarchically in three levels, as shown in Figure 3.
Level 1 models the intrinsic behavior of CNTFET. Level 2 includes the device level non idealities, i.e.,: defect/impurity scattering in the channel region; quantum wires resistance; parasitic capacitance of the doped source/drain region; Schottky barrier (SB) resistance between the doped CNT and the S/D metal contacts. Level 3 refers to multiple CNTs, and includes the parasitic gate capacitance and screening effect due to adjacent CNTs. Here, a synthetic review of the first level alone will be reported.

CNTFET Device Model Level 1

Level 1, denoted as CNTFET_L1, models the intrinsic behavior of CNTFET with a near-ballistic transport and without any parasitic capacitance and parasitic resistance. The equivalent circuit model is shown in Figure 4 and includes three current sources: (1) the thermionic current contributed by the semiconducting subbands ( I s e m i ) with the classical band theory; (2) the current contributed by the metallic subbands ( I m e t a l ); and (3) the leakage current ( I b t b t ) caused by the band-to-band tunneling (BTBT) mechanism through the semiconducting subbands.
Here, we summarize the modeling for the I s e m i component.
I s e m i : For semiconducting subbands, the current contributed by the substate ( m , l ) is given by
J m , l ( V x s , Δ Φ B ) = 2 e h 3 a π V π L g k l k m 2 + k l 2 1 1 + e ( E m , l + e V x s Δ Φ B ) / k T
where V x s is the potential difference between the node x and source, Δ Φ B is the channel surface-potential lowering with gate/drain bias, L g the channel length, V π ( 3.033 eV ) the carbon π π bond energy in the tight binding model, k m the wave number of the m th subband, k l the wave number of the l th substate, E ( m , l ) the carrier energy at the substate ( m , l ) . The total current contributed by all substates is equal to the current flowing from the drain to the source (corresponding to + k branch, i.e., positive velocity) minus the current flowing from the source to the drain (corresponding to k branch):
I s e m i ( V c h , D S , V c h , G S ) = 2 k m m = 1 M k l l = 1 L [ T L R J m , l ( 0 , Δ Φ B ) | + k T R L J m , l ( V c h , D S , Δ Φ B ) | k ]
where V c h , D S and V c h , G S represent the Fermi potential differences near the drain and within the channel, T L R and T R L are the transmission probability of the carrier at the substate ( m , l ) in + k and k branches, respectively. The channel surface-potential charge Δ Φ B can be calculated using the charge conservation equation
Q c a p ( Δ Φ B ) = Q C N T ( Δ Φ B )
where Q c a p is the charge induced by the electrodes, and Q C N T is the total charge induced on the CNT surface. Due to the nonlinearity of Q C N T , (5) is solved iteratively by the equation solver reported in Figure 5.

3.2. A Compact Virtual-Source Model for CNT

The virtual-source (VS) model is a semi-empirical model that contains only a few physical parameters, and assumes the current depends on a gate-controlled source-injection barrier [9,10]. The model was initially developed for short-channel Si MOSFET [8,23] and subsequently adapted for CNTFETs. Based on the VS approach, the drain current ( I D ) of a MOSFET is the product of the mobile charge density and the carrier velocity at the VS, i.e., at the location of the top of the energy barrier ( x = x 0 ) between the source and the channel (see Figure 6).
The model is specified by ten parameters: (1) gate length ( L g ); (2) gate capacitance in strong inversion region ( C i n v ); (3) low-field effective mobility ( μ ); (4) threshold voltage ( V t ); (5) inverse subthreshold slope factor (n); (6) drain-induced barrier lowering (DIBL) coefficient ( δ ); (7) series resistance ( R S ); (8) VS carrier velocity ( v x o ); (9) fitting parameter α ; and (10) fitting parameter β used to smooth the transitions between weak and strong inversion, and between non-saturation and saturation regions, respectively.
The model was derived by distinguishing two regions of operation: (a) saturation, (b) non-saturation.
a. VS model in saturation
In this case, the drain current normalized by width ( I D / W ) of a MOSFET can be described by
I D / W = Q i x o v x o
where
Q i x o = C i n v n ϕ t ln 1 + exp V G S ( V t α ϕ t F f ) n ϕ t
and the function F f is a Fermi function that allows for a smooth transition between the two values of reference voltage. C i n v is the effective gate-to-channel capacitance per unit area in strong inversion, ϕ t is the thermal voltage ( k B T / q ), V G S is the internal gate-source voltage, i.e., corrected for the voltage drop on the source R S and is given by V G S = V G S I D R s , n is the subthreshold coefficient, which is related to the so-called subthreshold swing (SS) by S S = n ϕ T . The threshold voltage V t is given by
V t = V t o δ V D S
where δ is the drain-inducted-barrier-lowering (DIBL) coefficient that introduces dependency of Q i x o on V D S , V t o is the strong-inversion threshold voltage at V D S = 0 , V D S accounts for the voltage drop on both R S and R D (drain resistance) as V D S = V D S I D ( R S + R D ) .
b. VS model in non-saturation
In this region, the current is expressed by
I D / W = Q i x o v x o F S
where F S is a saturation function defined by
F S = V D S / V D S A T ( 1 + ( V D S / V D S A T ) β ) 1 / β
which increases smoothly from 0, at V D S = 0 , to 1, at V D S > V D S A T , where V D S A T is the saturation voltage
V D S A T = v x o L c μ
and L c = L g 2 L o v is the effective channel length obtained from the gate length, accounting for source and drain overlap ( L o v ).

VS Model for CNTFET

On the basis of VS approach [8], a model for CNTFET has been developed [9] by deriving expressions for the VS parameters as functions of device dimensions and CNT diameter (d), which is a crucial parameter because it determines the CNT band structure and the bandgap ( E G ). As an example in the VS-CNTFET model, C i n v is calculated as follows:
C i n v = C o x C q e f f / ( C o x + C q e f f ) C q e f f = c q a q E g / ( k B T ) + c q b C o x = 2 π k o x ε 0 / { ln [ ( 2 t o x + d ) / d ] }
where q is the elementary charge, c q a and c q b are the empirical fitting parameters, C o x is the gate oxide capacitance, ε 0 is the permittivity in vacuum, and t o x and k o x are the thickness and the relative dielectric constant at the gate oxide, respectively.
The VS carrier velocity ( v x o ) can be associated with L g through the theory of back scattering of carriers in the channel, thus giving
v x o = λ v λ v + 2 l v B
where v B is the carrier velocity in the ballistic limit, λ v is the carrier MFP, and l is the critical length defined as the distance over which the electric potential drops by k B T / q from the top of the energy barrier in the channel.

4. Results and Discussion

4.1. Model Fitting

The proposed method aims to modify a “base” model in order to fit it to the COMSOL implementation of the proposed CNTFET architecture and to obtain a Verilog-A description of the final customized virtual source CNTFET SW model suitable for analog circuit design. This can be done through the extraction and modeling of the physical (geometrical) and non-physical (fitting) parameters of the “base” model starting from experimental data derived from COMSOL simulation of the CNTFET architecture described in Section 2. The idea is to extract and modify these parameters using a fitting algorithm that minimizes the difference between the predicted data and the real data obtained from COMSOL simulation. When the minimum error has been reached, the obtained values can be used in the next step: the optimization of the SPICE device, initially built on the “base” model values, and now described with the fitted values, in order to fit the given architecture and thus realize the customized virtual source CNTFET SW model.
The first step has been to find this “base” model that is to find an equivalent model of the CNTFET, to get a model description in Verilog-A language [24] in order to create a model library and consequently a component in the Cadence environment. This equivalent model is the VS-CNTFET model described in Section 3.2. This model has both a Verilog-A description, suitable for circuit design, and an equivalent Matlab description, useful for experimentation, both available online [25].
About the experimental data, these data have been obtained from COMSOL simulations of the CNTFET architecture described in Section 2.
Regarding the model fitting algorithm, an experimentation of accurate model fitting techniques exploring different approaches has been carried, but the simulated annealing algorithm [26,27] has shown the best performance for our application.
Simulated annealing (SA) is a probabilistic technique for solving optimization problems, which aims to find a global minimum when there are multiple local minima. The SA algorithm models the physical process of heating a material followed by cooling through a slow lowering of the temperature that decreases defects, thus, minimizing the system energy, SA randomly generates a new point at each iteration. The distance of the new point from the current point is based on a probability distribution proportional to the temperature. As the algorithm proceeds, an annealing schedule is selected to systematically decrease the temperature and, as the temperature decreases, the algorithm reduces the extent of its search to converge to a minimum.
The chosen objective function is the minimization of the root mean square of the difference between the estimated current and the real current given from COMSOL data.
A description of the implemented framework is shown in Figure 7.
Inputs to the VS-CNTFET are design-related parameters such as the gate length ( L g ), contact length ( L c ), CNT diameters (d), and gate oxide thickness ( t o x ), as listed in Table 1. Some of these parameters are well-defined from the COMSOL CNTFET architecture as reported in Table 2 and can be directly modified in the VS-CNTFET model, but most of the parameters in Table 1 are specific for the VS-CNTFET default implementation and need to be modified in order to fit the COMSOL implementation of the proposed CNTFET architecture.
In Table 2, we specify W = 1 μ m instead of W = 10 nm as in Figure 1 because we assume, for the fitting simulations, a number of CNTs in the device N c n t = W / s = 100 , with s = 10 nm, supposing no-border effect.
As mentioned in Section 3.2, the VS-CNTFET model is characterized by the parameters summarized in Table 3. Regarding the geometrical parameter L g that is the gate length, we choose a priori three values for our architecture, as reported in Table 2, so this parameter is fixed and does not belong to the fitting variables. However, in addition to the VS-CNTFET fitting parameters, we also fit the parameters c q a and c q b , in order to obtain the quantum capacitance C q e f f . Particularly, we need to extract from the model these parameters that, in the Verilog-A (or Matlab) implementation, are embedded in the model. All these values can be used to optimize the SPICE representation of the device.

4.2. Simulations Results

Starting from a Matlab implementation of the VS-CNTFET model and the experimental data obtained from COMSOL simulations of the given CNTFET architecture, an experimentation has been carried out in order to reach the minimum error, first fitting a few parameters and gradually increasing the parameters of the VS-CNTFET model. The developed framework, based on the SA algorithm, automatically performs the fitting of multi-parameters of the model.
Once the minimum error has been reached, the obtained values can be used in the final step: the optimization of the SPICE device, initially based on VS-CNTFET model values, and now described with the fitted values, in order to fit the given CNTFET architecture. Thus, a Verilog-A model description suitable for analog circuit design has been obtained.
Figure 8, Figure 9 and Figure 10 report the current/voltage characteristic ( I D - V D S ) as a function of V G S for different values of L g and V G S values from 0.4 V to 0.75 V with a step size of 0.05 V, showing the effectiveness of the proposed approach. As you can see, the I D - V D S curves obtained with the model fitting framework reaches a low error compared with the curves derived from COMSOL data.
Figure 11 depicts the I D - V G S curves with the CNTFET in the saturation region, for different values of L g . In addition, in this case, the experimental results validate the proposed method. Results refer to a number of CNTs in the device N c n t = W / s = 100 , supposing a no-border effect.
As a result, Table 4 reports the values obtained for the fitting parameters of the customized virtual source CNTFET SW model. These values can be used in the Verilog-A model description to develop a SPICE representation of the device.
It is worth noting that this framework could be potentially applied to fit other device geometries and materials: starting from the known VS-CNTFET model, the user must provide, as an input of the framework, the experimental data derived from full-wave modeling of the specific architecture by using the developed finite element solver (based on the combination of COMSOL Multiphysics and MATLAB), and modify the list of geometrical and physical input parameters (Table 1) according to this architecture. Then, the method should automatically converge to the fitting parameters, in order to obtain the SPICE representation of the device. Future works are focused on exploring this framework flexibility for new designs and manufacturing nanotechnologies, e.g., silicon nanowires.

5. Conclusions

A compact methodology for the model customization and device parameters extraction of CNTFETs has been proposed. Given the manufacturing technology as well the geometrical data of a custom device architecture, the physical constitutive relations of the CNT channel active region are derived. 3D full-wave simulations of the CNTFET prototype are then performed by means of the COMSOL solver. As a key development, a virtual-source CNTFET single tube model has then been customized, in order to fit it to the COMSOL simulation results. Finally, physical and non-physical parameters for the modified virtual-source CNTFET model have been extracted from the simulation results using a data fitting technique based on the robust simulated annealing algorithm. Additionally, a Verilog-A model description suitable for analog circuit design has been obtained.

Author Contributions

Conceptualization, D.M., P.C., C.T., and L.P.; Data curation, L.F. and N.P.; Formal analysis, L.F. and N.P.; Funding acquisition, L.P.; Methodology, L.F. and N.P.; Project administration, L.P.; Software, L.F., D.M., and N.P.; Supervision, C.T. and L.P.; Validation, L.F.; Visualization, L.F., N.P., P.C., and L.P.; Writing—original draft, L.F., N.P., P.C., G.B., C.T., and L.P.; Writing—review and editing, L.F., N.P., P.C., G.B., C.T., G.D., and L.P. All authors have read and agreed to the published version of the manuscript.

Funding

This research was supported by the European Project “NANO components for electronic SMART wireless circuits and systems (NANOSMART)”, H2020—ICT-07-2018-RIA, n. 825430.

Conflicts of Interest

The authors declare no conflict of interest. The funders had no role in the design of the study; in the collection, analyses, or interpretation of data; in the writing of the manuscript, or in the decision to publish the results.

References

  1. Mencarelli, D.; Rozzi, T.; Camilloni, C.; Maccari, L.; di Donato, A.; Pierantoni, L. Modelling of multi-wall CNT devices by self-consistent analysis of multichannel transport. Nanotechnology 2008, 19, 165202. [Google Scholar] [CrossRef] [PubMed]
  2. Bishop, M.; Hills, G.; Srimani, T.; Lau, C.; Murphy, D.; Fuller, S.; Humes, J.; Ratkovich, A.; Nelson, M.; Shulaker, M. Fabrication of carbon nanotube field-effect transistors in commercial silicon manufacturing facilities. Nat. Electron. 2020, 3, 492–501. [Google Scholar] [CrossRef]
  3. Mao, S. Chapter 5-Graphene Field-Effect Transistor Sensors. In Graphene Bioelectronics; Tiwari, A., Ed.; Advanced Nanomaterials; Elsevier: Amsterdam, The Netherlands, 2018; pp. 113–132. [Google Scholar]
  4. Mencarelli, D.; Rozzi, T.; Pierantoni, L. Coherent carrier transport and scattering by lattice defects in single- and multibranch carbon nanoribbons. Phys. Rev. B Condens. Matter Mater. Phys. 2008, 77. [Google Scholar] [CrossRef]
  5. Deng, J.; Wong, H.P. A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including non-idealities and Its Application—Part I: Model of the Intrinsic Channel Region. IEEE Trans. Electron Devices 2007, 54, 3186–3194. [Google Scholar] [CrossRef]
  6. Deng, J.; Wong, H.P. A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including non-idealities and Its Application—Part II: Full Device Model and Circuit Performance Benchmarking. IEEE Trans. Electron Devices 2007, 54, 3195–3205. [Google Scholar] [CrossRef]
  7. Luo, J.; Wei, L.; Lee, C.; Franklin, A.D.; Guan, X.; Pop, E.; Antoniadis, D.A.; Wong, H.P. Compact Model for Carbon Nanotube Field-Effect Transistors Including non-idealities and Calibrated With Experimental Data Down to 9-nm Gate Length. IEEE Trans. Electron Devices 2013, 60, 1834–1843. [Google Scholar] [CrossRef]
  8. Khakifirooz, A.; Nayfeh, O.M.; Antoniadis, D. A Simple Semiempirical Short-Channel MOSFET Current-Voltage Model Continuous Across All Regions of Operation and Employing Only Physical Parameters. IEEE Trans. Electron Devices 2009, 56, 1674–1680. [Google Scholar] [CrossRef]
  9. Lee, C.S.; Pop, E.; Franklin, A.D.; Haensch, W.; Wong, H.S.P. A Compact Virtual-Source Model for Carbon Nanotube FETs in the Sub-10-nm Regime—Part I: Intrinsic Elements. IEEE Trans. Electron Devices 2015, 62, 3061–3069. [Google Scholar] [CrossRef] [Green Version]
  10. Lee, C.S.; Pop, E.; Franklin, A.D.; Haensch, W.; Wong, H.S.P. A Compact Virtual-Source Model for Carbon Nanotube FETs in the Sub-10-nm Regime—Part II: Extrinsic Elements, Performance Assessment, and Design Optimization. IEEE Trans. Electron Devices 2015, 62, 3070–3078. [Google Scholar] [CrossRef] [Green Version]
  11. Vincenzi, G.; Deligeorgis, G.; Coccetti, F.; Dragoman, M.; Pierantoni, L.; Mencarelli, D.; Plana, R. Extending ballistic graphene FET lumped element models to diffusive devices. Solid-State Electron. 2012, 76, 8–12. [Google Scholar] [CrossRef] [Green Version]
  12. Bethoux, J.; Happy, H.; Dambrine, G.; Derycke, V.; Goffman, M.; Bourgoin, J. An 8-GHz f/sub t/ carbon nanotube field-effect transistor for gigahertz range applications. IEEE Electron Device Lett. 2006, 27, 681–683. [Google Scholar] [CrossRef]
  13. Zhang, H.; Pesetski, A.A.; Baumgardner, J.E.; Murduck, J.M.; Przybysz, J.X.; Adam, J.D. K-band Carbon Nanotube FET Operation. In Proceedings of the 2006 IEEE MTT-S International Microwave Symposium Digest, San Francisco, CA, USA, 11–16 June 2006; pp. 1688–1691. [Google Scholar]
  14. Shulaker, M.M.; Pitner, G.; Hills, G.; Giachino, M.; Wong, H.P.; Mitra, S. High-performance carbon nanotube field-effect transistors. In Proceedings of the 2014 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2014; pp. 33.6.1–33.6.4. [Google Scholar]
  15. Raychowdhury, A.; Mukhopadhyay, S.; Roy, K. A circuit-compatible model of ballistic carbon nanotube field-effect transistors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2004, 23, 1411–1420. [Google Scholar] [CrossRef]
  16. Fregonese, S.; Cazin d’Honincthun, H.; Goguet, J.; Maneux, C.; Zimmer, T.; Bourgoin, J.; Dollfus, P.; Galdin-Retailleau, S. Computationally Efficient Physics-Based Compact CNTFET Model for Circuit Design. IEEE Trans. Electron Devices 2008, 55, 1317–1327. [Google Scholar] [CrossRef]
  17. Goguet, J.; Fregonese, S.; Maneux, C.; Zimmer, T. Compact Model of a Dual Gate CNTFET: Description and Circuit Application. In Proceedings of the 2008 8th IEEE Conference on Nanotechnology, Arlington, TX, USA, 18–21 August 2008; pp. 388–389. [Google Scholar]
  18. Wei, L.; Frank, D.J.; Chang, L.; Wong, H.P. A non-iterative compact model for carbon nanotube FETs incorporating source exhaustion effects. In Proceedings of the 2009 IEEE International Electron Devices Meeting (IEDM), Baltimore, MD, USA, 7–9 December 2009; pp. 1–4. [Google Scholar]
  19. Balijepalli, A.; Sinha, S.; Cao, Y. Compact modeling of carbon nanotube transistor for early stage process-design exploration. In Proceedings of the 2007 International Symposium on Low Power Electronics and Design (ISLPED ’07), Portland, OR, USA, 27–29 August 2007; pp. 2–7. [Google Scholar]
  20. Sinha, S.; Balijepalli, A.; Cao, Y. Compact Model of Carbon Nanotube Transistor and Interconnect. IEEE Trans. Electron Devices 2009, 56, 2232–2242. [Google Scholar] [CrossRef]
  21. Pregaldiny, F.; Lallement, C.; Kammerer, J. Design-oriented compact models for CNTFETs. In Proceedings of the International Conference on Design and Test of Integrated Systems in Nanoscale Technology, 2006. DTIS 2006, Tunis, Tunisia, 5–7 September 2006; pp. 34–39. [Google Scholar]
  22. Yamacli, S.; Avci, M. Accurate SPICE compatible CNT interconnect and CNTFET models for circuit design and simulation. Math. Comput. Model. 2013, 58, 368–378. [Google Scholar] [CrossRef]
  23. Rakheja, S.; Antoniadis, D. MVS Nanotransistor Model (Silicon). 2015. Available online: https://nanohub.org/publications/15/4 (accessed on 8 October 2020).
  24. Verilog-A Language Reference Manual: Analog Extensions to Verilog HDL; Open Verilog International: Los Gatos, CA, USA, 1996.
  25. Lee, C.S.; Wong, H.S.P. Stanford Virtual-Source Carbon Nanotube Field-Effect Transistors Model. 2015. Available online: https://nanohub.org/publications/42/2 (accessed on 8 October 2020).
  26. Kirkpatrick, S.; Gelatt, C.D.; Vecchi, M.P. Optimization by simulated annealing. Science 1983, 220, 671–680. [Google Scholar] [CrossRef] [PubMed]
  27. Van Laarhoven, P.J.; Aarts, E.H. Simulated annealing. In Simulated Annealing: Theory and Applications; Springer: Dordrecht, The Netherlands, 1987; pp. 7–15. [Google Scholar]
Figure 1. The analysed geometrical configuration of a CNTFET in (a) 3D view and (b) 2D view. Details: gate, source and drain contacts (orange), filler material (cyan), gate oxide (green) and the nanotube (magenta). The parameters are described in Table 1
Figure 1. The analysed geometrical configuration of a CNTFET in (a) 3D view and (b) 2D view. Details: gate, source and drain contacts (orange), filler material (cyan), gate oxide (green) and the nanotube (magenta). The parameters are described in Table 1
Electronics 09 02199 g001
Figure 2. 3D structure of CNTFET. © [2007] IEEE. Reprinted, with permission, from [5].
Figure 2. 3D structure of CNTFET. © [2007] IEEE. Reprinted, with permission, from [5].
Electronics 09 02199 g002
Figure 3. The model is described hierarchically in three levels. © [2007] IEEE. Reprinted, with permission, from [6].
Figure 3. The model is described hierarchically in three levels. © [2007] IEEE. Reprinted, with permission, from [6].
Electronics 09 02199 g003
Figure 4. The 1st level equivalent circuit model CNTFET_L1 for CNTFET. © [2007] IEEE. Reprinted, with permission, from [5].
Figure 4. The 1st level equivalent circuit model CNTFET_L1 for CNTFET. © [2007] IEEE. Reprinted, with permission, from [5].
Electronics 09 02199 g004
Figure 5. Equation solver implemented in SPICE. © [2007] IEEE. Reprinted, with permission, from [5].
Figure 5. Equation solver implemented in SPICE. © [2007] IEEE. Reprinted, with permission, from [5].
Electronics 09 02199 g005
Figure 6. A representative 3D gate-all-around CNTFET structure used in the VS-CNTFET model. © [2015] IEEE. Reprinted, with permission, from [9].
Figure 6. A representative 3D gate-all-around CNTFET structure used in the VS-CNTFET model. © [2015] IEEE. Reprinted, with permission, from [9].
Electronics 09 02199 g006
Figure 7. Model fitting flowchart.
Figure 7. Model fitting flowchart.
Electronics 09 02199 g007
Figure 8. Best model fitting with L g = 90 nm and V G S values from 0.4 V to 0.75 V, with a step size of 0.05 V.
Figure 8. Best model fitting with L g = 90 nm and V G S values from 0.4 V to 0.75 V, with a step size of 0.05 V.
Electronics 09 02199 g008
Figure 9. Best model fitting with L g = 190 nm and V G S values from 0.4 V to 0.75 V, with a step size of 0.05 V.
Figure 9. Best model fitting with L g = 190 nm and V G S values from 0.4 V to 0.75 V, with a step size of 0.05 V.
Electronics 09 02199 g009
Figure 10. Best model fitting with L g = 290 nm and V G S values from 0.4 V to 0.75 V, with a step size of 0.05 V.
Figure 10. Best model fitting with L g = 290 nm and V G S values from 0.4 V to 0.75 V, with a step size of 0.05 V.
Electronics 09 02199 g010
Figure 11. I D - V G S curves for different values of L g and V G S = V D S (CNTFET in the saturation region).
Figure 11. I D - V G S curves for different values of L g and V G S = V D S (CNTFET in the saturation region).
Electronics 09 02199 g011
Table 1. VS-CNTFET model input parameters.
Table 1. VS-CNTFET model input parameters.
NameDescriptionType
sspacing between the CNTs (center-to-center) (m)geometrical
Wtransistor width (m)geometrical
L g physical gate length (m)geometrical
H g gate height (m)geometrical
L c contact length (m)geometrical
L e x t source/drain extension length or spacer length (m)geometrical
dCNT diameter (m)geometrical
t o x gate oxide thickness (m)physical
k o x gate oxide dielectric constantphysical
k c n t CNT dielectric constantphysical
k s u b substrate dielectric constantphysical
k s p a source/drain spacer dielectric constantphysical
E f s d Fermi level to the band edge (eV) at the source/drain, related to the doping densityphysical
V f b flat band voltage (V) (for threshold voltage adjustment)physical
G e o m o d device geometrygeometrical
R c m o d contact modephysical
R s 0 user-defined series resistance ( Ω )physical
S D T m o d source-to-drain tunneling (SDT) modephysical
B T B T m o d band-to-band tunneling modephysical
Ttemperature (°C)physical
Table 2. Input parameters of the 3D full-wave simulation model.
Table 2. Input parameters of the 3D full-wave simulation model.
NameValue
s10 nm
W μ m
L g 90 nm, 190 nm, 290 nm
L e x t 5 nm
d1.26 nm
t o x 10 nm
k o x 30
k c n t 4.2
k s p a 3.75
E f s d 0.5557 eV
G e o m o d top-gate
S D T m o d off
B T B T m o d on
T16.85 °C (290 K)
Table 3. Fitting parameters for the customized virtual source CNTFET SW model.
Table 3. Fitting parameters for the customized virtual source CNTFET SW model.
NameDescription
C i n v gate capacitance in strong inversion region (F/m)
μ low-field effective mobility (m 2 V 1 s 1 )
V t threshold voltage (V)
n (SS)inverse subthreshold slope factor (V/dec)
δ (DIBL)drain-induced barrier lowering coefficient (V/V)
R S series resistance ( Ω )
v x o VS carrier velocity (m/s)
c q a CNT quantum capacitance param 1 (F/m)
c q b CNT quantum capacitance param 2 (F/m)
Table 4. Fitting parameters values for the customized virtual source CNTFET SW model, for three different channel lengths.
Table 4. Fitting parameters values for the customized virtual source CNTFET SW model, for three different channel lengths.
Parameter L g = 90  nm L g = 190  nm L g = 290  nm
C i n v (fF/ μ m)0.7611.5712.206
μ (cm 2 V 1 s 1 )110014161554
V t (V)0.5490.5640.543
SS (mV/dec)100100100
DIBL (V/V)0.7600.7870.751
R S (k Ω )0.5548.1350.479
v x o (cm/s)1.0305.9370.427
c q a (fF/ μ m)0.0220.0270.012
c q b (fF/ μ m)0.0110.0060.034
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Falaschetti, L.; Mencarelli, D.; Pelagalli, N.; Crippa, P.; Biagetti, G.; Turchetti, C.; Deligeorgis, G.; Pierantoni, L. A Compact and Robust Technique for the Modeling and Parameter Extraction of Carbon Nanotube Field Effect Transistors. Electronics 2020, 9, 2199. https://doi.org/10.3390/electronics9122199

AMA Style

Falaschetti L, Mencarelli D, Pelagalli N, Crippa P, Biagetti G, Turchetti C, Deligeorgis G, Pierantoni L. A Compact and Robust Technique for the Modeling and Parameter Extraction of Carbon Nanotube Field Effect Transistors. Electronics. 2020; 9(12):2199. https://doi.org/10.3390/electronics9122199

Chicago/Turabian Style

Falaschetti, Laura, Davide Mencarelli, Nicola Pelagalli, Paolo Crippa, Giorgio Biagetti, Claudio Turchetti, George Deligeorgis, and Luca Pierantoni. 2020. "A Compact and Robust Technique for the Modeling and Parameter Extraction of Carbon Nanotube Field Effect Transistors" Electronics 9, no. 12: 2199. https://doi.org/10.3390/electronics9122199

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop