A Study of Advancing Ultralow-Power 3D Integrated Circuits with TEI-LP Technology and AI-Enhanced PID Autotuning
Abstract
:1. Introduction
2. Application of TEI-Aware Low-Power Techniques in 3D-IC: Embracing Higher Temperatures for Efficiency
2.1. TEI-Aware Voltage Scaling Technique
2.2. TEI-VS-Based Thermal Management for 3D-IC: Utilizing the New Finding of a Positive Relationship between Temperature and Power Savings
3. Deep Learning-Based Autotuning PID Controller for the 3D-IC Cooling System
3.1. Control Model
3.2. Proposed Deep Learning-Based Autotuning Method
3.3. Validation of the Proposed Method with a Basic 3D-IC Thermal Modeling
4. Experimental Result
5. Conclusions
Author Contributions
Funding
Data Availability Statement
Conflicts of Interest
Correction Statement
References
- Lau, J.H. Recent advances and trends in advanced packaging. IEEE Trans. Components Packag. Manuf. Technol. 2022, 12, 228–252. [Google Scholar] [CrossRef]
- Gomes, W.; Khushu, S.; Ingerly, D.B.; Stover, P.N.; Chowdhury, N.I.; O’Mahony, F.; Balankutty, A.; Dolev, N.; Dixon, M.G.; Jiang, L.; et al. 8.1 Lakefield and Mobility Compute: A 3D Stacked 10 nm and 22FFL Hybrid Processor System in 12 × 12 mm2, 1 mm Package-on-Package. In Proceedings of the 2020 IEEE International Solid-State Circuits Conference-(ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 144–146. [Google Scholar]
- Hu, C.; Chen, M.; Chiou, W.; Doug, C. 3D multi-chip integration with system on integrated chips (SoIC™). In Proceedings of the 2019 Symposium on VLSI Technology, Kyoto, Japan, 9–14 June 2019; pp. T20–T21. [Google Scholar]
- Salvi, S.S.; Jain, A. A review of recent research on heat transfer in three-dimensional integrated circuits (3-D ICs). IEEE Trans. Components Packag. Manuf. Technol. 2021, 11, 802–821. [Google Scholar] [CrossRef]
- Ding, B.; Zhang, Z.H.; Gong, L.; Xu, M.H.; Huang, Z.Q. A novel thermal management scheme for 3D-IC chips with multi-cores and high power density. Appl. Therm. Eng. 2020, 168, 114832. [Google Scholar] [CrossRef]
- Wang, C.; Huang, X.J.; Vafai, K. Analysis of hotspots and cooling strategy for multilayer three-dimensional integrated circuits. Appl. Therm. Eng. 2021, 186, 116336. [Google Scholar] [CrossRef]
- Wang, S.; Yin, Y.; Hu, C.; Rezai, P. 3D integrated circuit cooling with microfluidics. Micromachines 2018, 9, 287. [Google Scholar] [CrossRef] [PubMed]
- Ren, Z.; Alqahtani, A.; Bagherzadeh, N.; Lee, J. Thermal TSV optimization and hierarchical floorplanning for 3-D integrated circuits. IEEE Trans. Components Packag. Manuf. Technol. 2020, 10, 599–610. [Google Scholar] [CrossRef]
- Lin, J.M.; Chang, W.Y.; Hsieh, H.Y.; Shyu, Y.T.; Chang, Y.J.; Lu, J.M. Thermal-aware floorplanning and TSV-planning for mixed-type modules in a fixed-outline 3-D IC. IEEE Trans. Very Large Scale Integr. Syst. 2021, 29, 1652–1664. [Google Scholar] [CrossRef]
- Hanson, S.; Zhai, B.; Seok, M.; Cline, B.; Zhou, K.; Singhal, M.; Minuth, M.; Olson, J.; Nazhandali, L.; Austin, T.; et al. Exploring Variability and Performance in a Sub-200-mV Processor. IEEE J. Solid State Circuits 2008, 43, 881–891. [Google Scholar] [CrossRef]
- Zhai, B.; Pant, S.; Nazhandali, L.; Hanson, S.; Olson, J.; Reeves, A.; Minuth, M.; Helfand, R.; Austin, T.; Sylvester, D.; et al. Energy-Efficient Subthreshold Processor Design. IEEE Trans. Very Large Scale Integr. Syst. 2009, 17, 1127–1137. [Google Scholar] [CrossRef]
- Alioto, M. Ultra-low power VLSI circuit design demystified and explained: A tutorial. IEEE Trans. Circuits Syst. I Regul. Pap. 2012, 59, 3–29. [Google Scholar] [CrossRef]
- Wang, S.; Pathania, A.; Mitra, T. Neural network inference on mobile SoCs. IEEE Des. Test 2020, 37, 50–57. [Google Scholar] [CrossRef]
- Hartmann, J.; Cappelletti, P.; Chawla, N.; Arnaud, F.; Cathelin, A. Artificial Intelligence: Why moving it to the Edge? In Proceedings of the ESSDERC 2021-IEEE 51st European Solid-State Device Research Conference (ESSDERC), Grenoble, France, 13–22 September 2021; pp. 1–6. [Google Scholar]
- Conti, F.; Rossi, D.; Paulin, G.; Garofalo, A.; Di Mauro, A.; Rutishauer, G.; Ottavi, G.M.; Eggimann, M.; Okuhara, H.; Huard, V.; et al. 22.1 A 12.4 TOPS/W@ 136GOPS AI-IoT system-on-chip with 16 RISC-V, 2-to-8b precision-scalable DNN acceleration and 30%-boost adaptive body biasing. In Proceedings of the 2023 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 19–23 February 2023; pp. 21–23. [Google Scholar]
- Rossi, D.; Conti, F.; Eggiman, M.; Di Mauro, A.; Tagliavini, G.; Mach, S.; Guermandi, M.; Pullini, A.; Loi, I.; Chen, J.; et al. Vega: A ten-core SoC for IoT endnodes with DNN acceleration and cognitive wake-up from MRAM-based state-retentive sleep mode. IEEE J. Solid State Circuits 2021, 57, 127–139. [Google Scholar] [CrossRef]
- Rubino, A.; Livanelioglu, C.; Qiao, N.; Payvand, M.; Indiveri, G. Ultra-low-power FDSOI neural circuits for extreme-edge neuromorphic intelligence. IEEE Trans. Circuits Syst. I Regul. Pap. 2020, 68, 45–56. [Google Scholar] [CrossRef]
- Pu, Y.; Zhang, X.; Huang, J.; Muramatsu, A.; Nomura, M.; Hirairi, K.; Takata, H.; Sakurabayashi, T.; Miyano, S.; Takamiya, M.; et al. Misleading energy and performance claims in sub/near threshold digital systems. In Proceedings of the 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Jose, CA, USA, 7–11 November 2010; pp. 625–631. [Google Scholar]
- Lee, W.; Wang, Y.; Cui, T.; Nazarian, S.; Pedram, M. Dynamic thermal management for FinFET-based circuits exploiting the temperature effect inversion phenomenon. In Proceedings of the 2014 International Symposium on Low Power Electronics and Design, La Jolla, CA, USA, 11–13 August 2014; pp. 105–110. [Google Scholar]
- Rossi, D.; Pullini, A.; Gautschi, M.; Loi, I.; Gurkaynak, F.K.; Flatresse, P.; Benini, L. A-1.8 V to 0.9 V body bias, 60 GOPS/W 4-core cluster in low-power 28nm UTBB FD-SOI technology. In Proceedings of the 2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Rohnert Park, CA, USA, 5–8 October 2015; pp. 1–3. [Google Scholar]
- Cai, E.; Marculescu, D. TEI-Turbo: Temperature effect inversion-aware turbo boost for finfet-based multi-core systems. In Proceedings of the 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, USA, 2–6 November 2015; pp. 500–507. [Google Scholar]
- Zu, Y.; Huang, W.; Paul, I.; Reddi, V.J. Ti-states: Processor power management in the temperature inversion region. In Proceedings of the 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Taipei, Taiwan, 15–19 October 2016; pp. 1–13. [Google Scholar]
- Lee, W.; Han, K.; Wang, Y.; Cui, T.; Nazarian, S.; Pedram, M. TEI-power: Temperature Effect Inversion—Aware Dynamic Thermal Management. ACM Trans. Des. Autom. Electron. Syst. 2017, 22, 1–25. [Google Scholar] [CrossRef]
- Park, J.; Cha, H. Aggressive voltage and temperature control for power saving in mobile application processors. IEEE Trans. Mob. Comput. 2017, 17, 1233–1246. [Google Scholar] [CrossRef]
- Han, K.; Lee, J.J.; Lee, J.; Lee, W.; Pedram, M. TE-NoC: Optimizing ultralow power NoCs exploiting the temperature effect inversion. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2017, 37, 458–471. [Google Scholar] [CrossRef]
- Lee, W.; Kang, T.; Lee, J.J.; Han, K.; Kim, J.; Pedram, M. TEI-ULP: Exploiting body biasing to improve the TEI-Aware ultralow power methods. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2018, 38, 1758–1770. [Google Scholar] [CrossRef]
- Han, K.; Lee, S.; Oh, K.I.; Bae, Y.; Jang, H.; Lee, J.J.; Lee, W.; Pedram, M. Developing TEI-Aware Ultralow-Power SoC Platforms for IoT End Nodes. IEEE Internet Things J. 2021, 8, 4642–4656. [Google Scholar] [CrossRef]
- Pandey, S.K.; Veeranna, K.; Kumar, B.; Deshmukh, K. A robust auto-tuning scheme for PID controllers. In Proceedings of the IECON 2020 The 46th Annual Conference of the IEEE Industrial Electronics Society, Singapore, 18–21 October 2020; pp. 47–52. [Google Scholar]
- Zhang, R.; Stan, M.R.; Skadron, K. Hotspot 6.0: Validation, Acceleration and Extension; University of Virginia: Charlottesville, VA, USA, 2015. [Google Scholar]
- Park, J.; Cha, H. T-DVS: Temperature-aware DVS based on temperature inversion phenomenon. In Proceedings of the 2016 International Symposium on Low Power Electronics and Design, San Francisco, CA, USA, 8–10 August 2016; pp. 248–253. [Google Scholar]
- Mahmoodabadi, M.J.; Maafi, R.A.; Taherkhorsandi, M. An optimal adaptive robust PID controller subject to fuzzy rules and sliding modes for MIMO uncertain chaotic systems. Appl. Soft Comput. 2017, 52, 1191–1199. [Google Scholar] [CrossRef]
- Kumar, N.; Aryan, P.; Raja, G.L.; Muduli, U.R. Robust Frequency-Shifting Based Control Amid False Data Injection Attacks for Interconnected Power Systems with Communication Delay. IEEE Trans. Ind. Appl. 2024, 1–14. [Google Scholar] [CrossRef]
- Qiao, J.; Zhao, M.; Wang, D.; Li, M. Action-Dependent Heuristic Dynamic Programming With Experience Replay for Wastewater Treatment Processes. IEEE Trans. Ind. Inform. 2024, 1–9. [Google Scholar] [CrossRef]
- Sukede, A.K.; Arora, J. Auto tuning of PID controller. In Proceedings of the 2015 International Conference on Industrial Instrumentation and Control (ICIC), Pune, India, 28–30 May 2015; pp. 1459–1462. [Google Scholar]
- Ziegler, J.G.; Nichols, N.B. Optimum settings for automatic controllers. Trans. Am. Soc. Mech. Eng. 1942, 64, 759–765. [Google Scholar] [CrossRef]
- Ibrahim, O.; Yahaya, N.Z.; Saad, N. Comparative studies of PID controller tuning methods on a DC–DC boost converter. In Proceedings of the 2016 6th International Conference on Intelligent and Advanced Systems (ICIAS), Kuala Lumpur, Malaysia, 15–17 August 2016; pp. 1–5. [Google Scholar]
- Cohen, G.; Coon, G. Theoretical consideration of retarded control. Trans. Am. Soc. Mech. Eng. 1953, 75, 827–834. [Google Scholar] [CrossRef]
- John, G.S.; Vijayan, A.T. Anti-windup PI controller for speed control of brushless DC motor. In Proceedings of the 2017 IEEE International Conference on Power, Control, Signals and Instrumentation Engineering (ICPCSI), Chennai, India, 21–22 September 2017; pp. 1068–1073. [Google Scholar]
- Åström, K.; Hägglund, T. PID Controllers: Theory, Design, and Tuning; ISA—The Instrumentation, Systems and Automation Society: Pittsburgh, PA, USA, 1995. [Google Scholar]
- Kingma, D.P.; Ba, J. Adam: A method for stochastic optimization. arXiv 2014, arXiv:1412.6980. [Google Scholar]
- Kessler, R.E. The alpha 21264 microprocessor. IEEE Micro 1999, 19, 24–36. [Google Scholar] [CrossRef]
Conv. | −0.0181 | 0.3244 | 1.2975 | 1.5414 |
Proposed | −0.0181 | 0.0451 | 0.0098 | 0.0261 |
Parameter | Value (C) | Parameter | Value |
---|---|---|---|
Initial temp. | 80.0 | Fan radius | 0.02 |
Ambient temp. | 40.0 | Motor radius | 0.01 |
Thermal threshold | 120.0 |
Conv. | −0.0743 | 43.8125 | 10.9525 | 0.0457 |
Proposed | −0.0743 | 0.0288 | 0.0063 | 0.0217 |
Clock Frequency: 50 MHz | Clock Frequency: 100 MHz | |
---|---|---|
(J) | 1.430 | 3.151 |
(J) | 1.134 | 2.455 |
Energy saving (%) | 20.70 | 22.09 |
Clock Frequency: 50 MHz | Clock Frequency: 100 MHz | |
---|---|---|
(J) | 1.571 | 3.491 |
(J) | 1.334 | 2.921 |
Energy saving (%) | 17.77 | 16.33 |
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content. |
© 2024 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Jeon, S.; Kwak, H.; Lee, W. A Study of Advancing Ultralow-Power 3D Integrated Circuits with TEI-LP Technology and AI-Enhanced PID Autotuning. Mathematics 2024, 12, 543. https://doi.org/10.3390/math12040543
Jeon S, Kwak H, Lee W. A Study of Advancing Ultralow-Power 3D Integrated Circuits with TEI-LP Technology and AI-Enhanced PID Autotuning. Mathematics. 2024; 12(4):543. https://doi.org/10.3390/math12040543
Chicago/Turabian StyleJeon, Sangmin, Hyunseok Kwak, and Woojoo Lee. 2024. "A Study of Advancing Ultralow-Power 3D Integrated Circuits with TEI-LP Technology and AI-Enhanced PID Autotuning" Mathematics 12, no. 4: 543. https://doi.org/10.3390/math12040543
APA StyleJeon, S., Kwak, H., & Lee, W. (2024). A Study of Advancing Ultralow-Power 3D Integrated Circuits with TEI-LP Technology and AI-Enhanced PID Autotuning. Mathematics, 12(4), 543. https://doi.org/10.3390/math12040543