Next Article in Journal
Time-Division Multiplexed Optical Covert Communication System Based on Gain-Switched Optical Pulses
Previous Article in Journal
Specific and Simultaneous Detection of E. coli O157:H7 and Shiga-like Toxins Using a Label-Free Photonic Immunosensor
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Damascene Process Development for Low-Loss Photonics Devices with Applications in Frequency Comb

1
School of Microelectronics, Shanghai University, Shanghai 201899, China
2
LightIC Technologies Singapore Pte. Ltd., Singapore 689580, Singapore
*
Author to whom correspondence should be addressed.
Photonics 2024, 11(4), 375; https://doi.org/10.3390/photonics11040375
Submission received: 1 March 2024 / Revised: 24 March 2024 / Accepted: 3 April 2024 / Published: 16 April 2024
(This article belongs to the Section Optoelectronics and Optical Materials)

Abstract

:
Silicon nitride (SiN) is emerging as a material of choice for photonic integrated circuits (PICs) due to its ultralow optical losses, absence of two-photon absorption in telecommunication bands, strong Kerr nonlinearity and high-power handling capability. These properties make SiN particularly well-suited for applications such as delay lines, chip-scale frequency combs and narrow-linewidth lasers, especially when implemented with thick SiN waveguides, which is achieved through low-pressure chemical vapor deposition (LPCVD). However, a significant challenge arises when the LPCVD SiN film thickness exceeds 300 nm on an 8-inch wafer, as this can result in cracking due to high stress. In this work, we successfully develop a damascene process to fabricate 800 nm-thick SiN photonics devices on an 8-inch wafer in a pilot line, overcoming cracking challenges. The resulting 2 × 2 multimode interference (MMI) coupler exhibits low excess loss (−0.1 dB) and imbalance (0.06 dB) at the wavelength of 1310 nm. Furthermore, the dispersion-engineered SiN micro-ring resonator exhibits a quality (Q) factor exceeding 1 × 106, enabling the generation of optical frequency combs. Our demonstration of photonics devices utilizing the photonics damascene process sets the stage for high-volume manufacturing and widespread deployment.

1. Introduction

In the rapidly evolving landscape of photonic integrated circuits (PICs), silicon nitride (SiN) stands out as a leading material due to its exceptional properties, such as ultralow optical losses [1], absence of two-photon absorption in telecommunication bands [2], strong Kerr nonlinearity and high-power handling capability [3,4]. These attributes make SiN an ideal candidate for a wide range of applications, including delay lines [5], chip-scale frequency combs [6,7,8], and narrow-linewidth lasers [9], particularly when implemented with thick SiN waveguides [10]. The use of thick SiN layers enhances optical confinement, reduces scattering losses and can engineer dispersion, which is advantageous for producing anomalous group velocity dispersion (GVD) [11,12,13,14,15,16,17,18,19,20]. Building in the properties, in 2016, M. H. P. Pfeiffer et al. constructed a micro-resonator with a radius of 238 μm, comprising waveguides with dimensions of 1.35 μm in height and 1.5 μm in width. This resonator exhibited an optical quality (Q) factor of 3.7 × 106. Leveraging the high-Q SiN micro-resonator with anomalous GVD, the researchers were able to generate a broadband frequency comb through the mechanism of single temporal dissipative Kerr soliton (DKS), as reported in reference [21].
Plasma-enhanced chemical vapor deposition (PECVD) and low-pressure chemical vapor deposition (LPCVD) are two key techniques to produce SiN films. While PECVD can produce thicker SiN films, it is associated with reduced film uniformity compared to LPCVD. The SiN film produced by PECVD has a higher hydrogen bond concentration, approximately 20% [22,23,24], which results in optical absorption within the C-band, primarily due to N-H and Si-H bonds [12,25], increasing optical losses and adversely affecting device performance. In contrast, LPCVD produces a SiN film with greater uniformity and lower hydrogen bond content, which is beneficial for improving the performance of SiN photonic devices. However, the fabrication of thick LPCVD SiN films, particularly on larger wafers (6 inches or larger), presents significant challenges [26]. The increased thickness can induce high stress levels that can lead to cracking, a phenomenon that constrains dispersion-based photonic devices to smaller wafers [27]. This restricts the scalability and cost-effectiveness of photonic devices, as larger wafers are more economical for mass production.
To address these challenges, researchers have implemented various stress management strategies, including the incorporation of stress-relief layers and optimizing deposition and etching processes [4,24]. Table 1 lists out a comparison of SiN waveguide processing methods tailored to various wafer sizes and film thicknesses. In a notable advancement, Dirani et al., in 2019, succeeded in depositing thick SiN films on 8-inch wafers using an optimized conventional subtractive process [25]. To control strain and prevent cracking, they deposited SiN films in two or more LPCVD steps. Between each of the two deposition steps, the carrier wafer had to be rotated by 45° to distribute the uniaxial strain over the entire film thickness. The low loss of the waveguides was obtained, which contributed to the annealing process in special ambient conditions to drive out the residual polymer and N-H bonds, resulting in an ultra-smooth sidewall. However, this work places a high dependency on the equipment used, which is not commonly available in foundries. An alternative approach to prevent film cracking is the damascene process, which was initially developed for semiconductor metal interconnects and later adapted for SiN photonics. This process structures the substrate before depositing the SiN film and then planarizes it, effectively managing stress and preventing cracking [11,25]. The process has provided a fundamental solution to the challenges associated with thick SiN film fabrication, paving the way for advanced photonic chips on a wafer scale [4,26].
Despite the success of the damascene process, there have been few reports of its applications on larger wafers, such as 8-inch wafers, while most research and development focused on smaller wafer sizes [26,27]. Scaling up to larger wafers introduces additional complexities, such as maintaining uniformity and controlling stress distribution across the entire wafer [28,29,30].
Table 1. Summary of the SiN waveguide processing platforms.
Table 1. Summary of the SiN waveguide processing platforms.
Research TeamWafer Size
(inch)
Deposition MethodCross-Sectional Size (μm2)
Ying Huang [31]8PECVD0.4 × 1.0
Sheng Chun Mao [32]8PECVD0.4 × 0.7
Zhendong Zhu [27]6LPCVD0.4 × 1.8
Ling Hua Wang [33]4PECVD0.6 × 1.5
Clemens J. Krückel [34]4LPCVD0.7 × 1.65
Jörn P. Epping [26]4LPCVD0.9 × 1.2
Tobias Kippenberg [4]4LPCVD0.9 × 2.1
Zhichao Ye [35]3LPCVD0.74 × 1.5
Houssein El Dirani [25]8LPCVD0.8 × 1.5
This paper8LPCVD0.8 × 0.8
In this work, we have successfully executed a damascene fabrication technique to fabricate SiN photonic devices with a thickness of 0.8 μm on an 8-inch wafer, which effectively addresses the issue of cracking. The waveguide loss is systematically characterized, with wafer-level test results showing a mean propagation loss of less than 0.33 dB/cm. Simultaneously, a 2 × 2 multimode interference (MMI) coupler is designed, and its performance is experimentally validated, demonstrating a low excess loss of −0.1 dB and an imbalance of 0.06 dB. Furthermore, micro-ring resonators with varying widths are engineered, and their transmission spectra and Q factors are characterized and analyzed. The findings indicate that micro-resonators with wider widths can achieve higher Q factor, exceeding 1 × 106, which facilitates the generation of frequency combs.

2. Fabrication Process

Our designed devices are fabricated by KrF (248 nm) Deep Ultraviolet (DUV) lithography with our developed photonic damascene process on an 8-inch wafer. The suggested fabrication process flow of the SiN devices on an 8-inch CMOS pilot line is shown in Figure 1.
After cleaning the wafer, a 4 μm-thick SiO2 layer served as the lower cladding and was deposited through a combination of thermal oxidation and PECVD processes to a silicon wafer. In detail, a 3 μm SiO2 film was grown by a thermal oxygen process with an annealing temperature of ~1100 °C, and then the 1 μm SiO2 film was deposited by the PECVD process. This not only saves the time of growing 1 μm by the thermal oxygen process, but also obtains the easily etched SiO2 film provided by the PECVD process. The SiO2 film prepared by the thermal oxygen process has a low hydrogen content, and the furnace tube process is used to grow the film on both sides of the wafer, so the wafer is basically not deformed after the film is grown, and the stress limit is well controlled.
On top of the SiO2 layer, ~330 nm-thick SiN film is deposited by PECVD, acting as a hard mask (HM) for the subsequent SiO2 etching process and the photoresist (PR) was coated on top of the HM. This ensures that the critical dimensions of the lithography step remain unchanged and the sidewall roughness of the lower SiO2 cladding trench is greatly improved. Following DUV lithography and etching steps, SiO2 grooves matching the device’s dimensions were etched into the lower cladding. Subsequently, the grooves were refluxed with an annealing process in a furnace with temperature of ~1100 °C for ≥7 h to reduce sidewall roughness and residual O-H bonds within the lower cladding. To form the SiN core layer, a two-step deposition method was employed using LPCVD. After the first SiN deposition, a chemical mechanical polishing (CMP) process was used to remove excess SiN film outside the grooves, effectively reducing the film strain. High-temperature annealing was then carried out to release Si-H and N-H bonds in the SiN core layer, significantly reducing absorption losses in the thin film. A 2.6-μm-thick SiO2 layer was deposited by PECVD as an upper cladding on top of the waveguides. Finally, another CMP process was employed to achieve a flat and uniform device surface. Using this process, we have successfully fabricated SiN waveguides, 2 × 2 MMI coupler, resonators of various widths with a thickness of 800 nm.

3. Silicon Nitride Waveguide

In silicon photonic integrated circuits, rectangular optical waveguides are the basic components. We have designed three sets of spiral waveguides with different lengths of 12.398, 23.398 and 49.798 mm; their optical photo is shown in Figure 2a. The waveguide structure is shown in Figure 2b (top), the thickness of the lower cladding layer is 3.2 µm and the thickness of the upper cladding layer is 2.6 µm. The thickness of the core layer is 0.8 µm and width of the waveguide is 0.8 µm. We have simulated the mode properties of the SiN waveguide using the Finite Difference Eigenmode solver (FDE) in Ansys Lumerical MODE. The mode field diagrams for the transverse electric (TE) and transverse magnetic (TM) modes at a wavelength of 1310 nm are depicted in the center and bottom of Figure 2b, respectively. These diagrams clearly demonstrate that the waveguide dimensions effectively confine the light within its core. The photograph of the completed 8-inch wafer is displayed in Figure 2c. The wafer comprises 30 individual dies, from which seven are highlighted in red for the purpose of propagation loss testing. The testing was conducted using a tunable laser that spans the O-band spectrum (model: Keysight 8164B). A lensed single-mode fiber was used to couple the light from the laser into the waveguide, and another lensed fiber was used to couple the light out of the waveguide to a power detector. The measured transmission spectra of spiral waveguides at Die 7 in the wavelength range of 1260 to 1380 nm is shown in Figure 2d. We also measured the propagation loss by fitting the transmission spectrum of spiral waveguides of different lengths. Figure 2e shows the results of the linear fit for the wavelength of 1310 nm, where the slope value obtained is −0.25, indicating that the propagation loss at 1310 nm is 0.25 dB/cm. A more comprehensive evaluation of device performance uniformity across the fabricated wafer is carried out by selecting spiral waveguides located in different dies. Figure 2f presents the measured propagation losses at a wavelength of 1310 nm for the seven selected dies, the wafer level characteristics of the propagation losses of the waveguide are such that they gradually decrease from the center of the wafer to the edge of the wafer (red is the maximum loss, green is the minimum loss). The 800 nm thick SiN has better film uniformity and revealing an average loss that is less than 0.33 dB/cm.

4. 2 × 2 Multimode Interference (MMI) Coupler

MMI is the most used on-chip power divider due to its wide manufacturing tolerance. As shown in Figure 3a, we have designed and experimentally demonstrated a 2 × 2 SiN MMI 3-dB coupler. The designed 2 × 2 MMI 3-dB coupler was simulated by using the three-dimensional (3D) finite-difference time-domain (FDTD) method. Two orthogonal polarizations, TE and TM, combine to approximately represent the behavior of the Amplified Spontaneous Emission (ASE) light source to assess the response of beams of arbitrary polarization to the device. The multimode waveguide width Wm is selected as 5.2 μm and the access port width Wt of the linear taper to the multimode interference region is chosen to be 2 μm. The center-to-center spacing Ws is set to 3.2 μm, providing ample separation to avoid crosstalk between the two input or output waveguides. The length of the taper Lt is determined to be 55 μm, ensuring a sufficiently adiabatic transition within the tapers. Finally, the length of multimode waveguide Lm is set to 79.3 μm in accordance with the beat length of the MMI coupler. Two inverse tapers with tip width of 300 nm and taper length of 300 μm are used to couple light in and out of the MMI coupler.
Both the through and cross ports of the coupler maintain a high transmittance over an 80-nm wide bandwidth from 1270 to 1350 nm in our simulation, as shown in Figure 3b. The illustration shows the electric field distribution along the propagation. The imbalance (IM) is defined as 10 · log ( P Through / P Cross ) , the insertion loss (IL) of one output port is defined as 10 · log ( P Through ( Cross ) / P in ) , and the excess loss (EL) is defined as the difference between the IL and the ideal −3 dB value for a 3-dB coupler are utilized to characterize the performance of the MMI coupler. In the definition, PThrough, PCross and Pin represent the power at the through, cross and input ports, respectively. As shown in Figure 3c, the simulation results illustrate that the MMI coupler exhibits relatively low ELThrough (ELCross) of below −0.44 (−0.27) dB and IM below 0.18 dB, respectively, across the entire 80-nm bandwidth.
To enable the most accurate calculation of the IL of a single MMI coupler, five identical MMIs are cascaded. Figure 3d shows the optical microscope image of the fabricated MMIs tree, and the inset is an enlarged view of one single MMI coupler. Ports 1–6 are these output ports from each MMI coupler. In a fabricated MMI coupler tree, it can be observed that the power output from the ports decreases proportionally with an increasing number of cascaded MMI couplers. Consequently, the insertion loss of a single MMI can be determined by extracting the slope, which is calculated through linear fitting the power from the first five ports at each wavelength. We have measured the transmission spectra after calibrating to the light source across the wavelength regime of 1270–1350 nm. Figure 3e presents the linear fitting results for the wavelength of 1310 nm, where the obtained slope is −3.10 dB, representing the IL of a single 2 × 2 MMI coupler. As a 3-dB coupler, the EL is calculated by subtracting the reference value of −3 dB, resulting in an EL of −0.10 dB at 1310 nm wavelength. The final two ports, Port 5 and Port 6, are used to calculate the IM of the coupler. Likewise, the EL and IM across the entire wavelength regime are calculated as shown in Figure 3f. The measured EL is lower than −0.2 dB, and IM remains minimal, measuring less than 0.06 dB across the 80-nm bandwidth. Compared to the simulation results, which only consider the two orthogonal polarizations in the light source, the optimal test results may be caused by fabrication process errors of devices.

5. Micro-Ring Resonator

As shown in Figure 4a,b, we designed two widths of resonator, 0.82 µm and 15 µm, respectively. Each resonator consists of a ring waveguide as the cavity and a bus waveguide for evanescent wave coupling to the cavity. To characterize the basic performance of the micro-ring, the transmission spectrum was tested in the 185–200 THz range and one of the resonance peaks was selected for Lorentzian fitting to calculate its Q-value. The optical transmission spectra for the 0.82 µm and 15 µm micro-rings are depicted in Figure 4c and Figure 4d, respectively. Notably, the narrower micro-ring exhibits a free spectral range (FSR) of approximately 200 GHz. The 0.82 µm resonator features a single mode, while the wider 15 µm resonator accommodates multiple higher-order modes due to its increased dimensions. It should be noted that the reduction in the transmission spectrum of the 0.82 μm-width ring at lower frequencies is likely due to the sidewall roughness affecting longer wavelengths in the narrower rings. The linewidth Lorentzian fits for the resonators are presented in Figure 4e,f, where the measured quality (Q) factors are 5.3 × 105 for the 0.82 µm resonator and 2.4 × 106 for the 15 µm resonator. The insets in these figures highlight the coupling regions of the resonators. The wider resonator, like a micro-disc, achieves a narrower linewidth and thus a higher Q factor.

6. Optical Frequency Comb

Figure 5a is a schematic diagram of optical frequency comb generation. When the pump passes through a microcavity with non-linear characteristics and the phase matching requirement is met, the spectrum is broadened. The closer the integrated dispersion (Dint) is to 0, the better the phase match between the microcavity mode and the comb mode at the corresponding wavelength, and the comb mode of the optical frequency comb can achieve more significant gain. If the slope of the Dint curve is positive, the dispersion at the corresponding wavelength is anomalous dispersion; if the slope of the Dint curve is negative, the dispersion at the corresponding wavelength is normal dispersion. The results show that it is easier to obtain anomalous dispersion with increasing silicon nitride thickness for the same waveguide width. As shown in Figure 5b, a single frequency ωp passes through the microcavity to produce optical frequencies ωi and ωs that are symmetric with the pump under the resulting generated four-wave mixing effect, their frequency spacing being N1 times the FSR. Then, as the frequency combs expand under the non-generated four-wave mixing effect, any two frequencies ω1 and ω2 interact to produce new frequencies ω3 and ω4 separated by N2 times the FSR. Finally, the two processes are performed simultaneously and the optical frequency comb is broadened.
A broadband frequency comb is generated using a high-Q SiN resonator with anomalous GVD (GVD > 0). Low waveguide loss is often required for high Q. Dispersion control in micro-ring cavities is usually achieved by the width and height of the micro-ring cross section. In most silicon nitride microcavities, the thickness of the microcavity is very thin to achieve low transmission loss, resulting in dispersion that does not meet the requirements of nonlinear studies, especially those that produce optical free frequency combs. The key to thick silicon nitride microcavities is that the use of thick silicon nitride layers improves optical confinement, reduces scattering losses and allows to engineer dispersion. To meet the requirements of anomalous dispersion, the dimensions of the micro-ring usually allow the presence of multiple modes in the cavity, with a limited number of higher order modes in addition to the fundamental modes of TE and TM. We have selected specified resonance peaks from Figure 4d and fitted the calculation to obtain the corresponding Q as shown in Figure 5c. The width of 15 µm resonator has anomalous GVD and decent Q, micro-combs are expected to be generated in this resonator.
Before performing the comb generation experiments, we simulate the comb generation using the parameters of the 15 µm-wide resonator. The computational complexity of the coupled mode equations in the frequency domain increases with the number of comb teeth involved in the calculation. It is much easier to analyze the nonlinear dynamics of broadband microcavity optical frequency combs in the time domain, and the time-domain dynamics equations for microcavity combs are known as the Lutiato-Lefever equation (LLE). The following equation is the LLE, which is a nonlinear Schrödinger equation with dissipation and pumping terms. The use of the LLE allows for rapid simulation and analysis of the evolution of the optical frequency combs.
d A ( ϕ , t ) d t = ( κ 2 + i δ ω ) A + i j = 2 D j j ! ( i ϕ ) j A + i g | A | 2 A + κ e x t P i n ω 0 ,
For a microcavity, its internal optical field can be described as a function of spatial position and time A ( ϕ , t ) . The resonance frequency of the pump mode is ω p , and since the micro-optical comb usually extends on both sides of the frequency domain with the pump light as the center, the relative mode number μ = j j 0 is introduced, and the relative mode number of the pump mode is 0. δ ω = ω 0   ω p denotes the amount of detuning of the pump with respect to the resonance frequency of the pump mode and the single-photon energy ω 0 , κ is the total loss of the combs and κ e x t is the coupling loss of the microcavities, P i n is the optical power of the pump. The equivalent non-linear coefficient g = ω 0 2 c n 2 / n 0 2 V eff (where n 2 is the equivalent non-linear coefficient, n 0 is the refractive index of the material and V eff is the effective mode volume of the mode field).
To optimize the characterization process of the micro-optic comb, we employ Python 3.11.5 scripts to calculate the LLE for each parameter of the 15 µm-wide resonator. The evolution of the total intracavity power in the simulation is depicted in Figure 5d. The analysis reveals that as the pump laser frequency is scanned from the blue-detuned side towards the red-detuned side of the pump mode, there is a gradual increase in the intracavity power. A critical point is observed in Figure 5e, where the intracavity power surpasses the nonlinear threshold. Beyond this threshold, the main comb emerges with a spacing many times the FSR of the micro-ring resonator.
The experimental setup for comb generation consists of laser generation and amplification, a micro-ring resonator chip and electronics for monitoring various parameters. Laser generation and amplification are facilitated by a tunable laser (Toptica CTL1550) and an Erbium-Doped Fiber Amplifier (EDFA), with the latter providing amplification across the optical spectrum of approximately 1550–1570 nm, aligning with the pump light’s tuning range. The pump light’s polarization is fine-tuned using a fiber polarization controller (FPC) to ensure optimal alignment with the micro-ring’s mode. The laser is coupled to the micro-ring chip through a lensed optical fiber. In our experiments, the formation of the main comb was observed by applying pump power of 500 mW at a pump wavelength of 1559 nm, as illustrated in Figure 5f. Probably due to the mismatch between the corresponding mode and the pumping power, only the main comb was achieved, which agreed with the simulation as shown in Figure 5e. While, soliton generation was not observed, primarily due to the multitude of modes in the micro-ring and their polarization-sensitive resonance peaks. To induce soliton formation, further experiments with iterative polarization adjustments to identify the requisite stepped resonance peaks are required.

7. Conclusions

In this work, we have successfully developed a damascene process to fabricate 800 nm-thick SiN photonic devices on 8-inch wafers in a pilot line, overcoming the challenge of thick waveguide cracking and realizing anomalous dispersion resonators using thick waveguides. Wafer level test results of waveguides show the mean propagation loss is below 0.33 dB/cm. The resulting 2 × 2 MMI coupler has low excess loss (−0.1 dB) and imbalance (0.06 dB) at 1310 nm. In addition, the SiN micro-ring resonator has Q factors of more than 1 × 106 and meets the anomalous dispersion requirement, thereby producing an optical frequency comb. Our demonstration of photonic devices using the photonic damascene process lays the foundation for high-volume manufacturing and widespread applications.

Author Contributions

Q.Z. (Qiaoling Zhou): Writing—original draft, Visualization, Methodology, Investigation, Conceptualization. Y.J.: Methodology, Investigation. Q.Z. (Qize Zhong): Writing—review & editing, Supervision, Methodology, Funding acquisition, Conceptualization. T.H.: Supervision, Methodology, Funding acquisition. S.Z.: Methodology. Y.D.: Methodology. X.Z.: Methodology. Y.Q.: Methodology. L.J.: Resources. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by National Natural Science Foundation of China (U23A20356, 62204149, 62205193).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Data underlying the results presented in this paper are not publicly available at this time but maybe obtained from the authors upon reasonable request.

Acknowledgments

This work was supported by Shanghai Collaborative Innovation Center of Intelligent Sensing Chip Technology, the Shanghai Key Laboratory of Chips and Systems for Intelligent Connected Vehicle, Shanghai Technical Service Computing Center of Science and Engineering, Shanghai University.

Conflicts of Interest

Author Lianxi Jia was employed by the company LightIC Technologies Singapore Pte. Ltd. The remaining authors declare that the research was conducted in the absence of any commercial or financial relationships that could be construed as a potential conflict of interest.

References

  1. Cummins, C.; Pan, B.; Roelkens, G.; Dahlem, M.; Saseendran, S.S.; Helin, P. Material Characterisation of LPCVD SiN and Understanding Loss Behavior. In Proceedings of the 2023 Conference on Lasers and Electro-Optics Europe & European Quantum Electronics Conference (CLEO/Europe-EQEC), Munich, Germany, 26–30 June 2023; p. 1. [Google Scholar]
  2. Chembo, Y.K. Kerr optical frequency combs: Theory, applications and perspectives. Nanophoton 2016, 5, 214–230. [Google Scholar] [CrossRef]
  3. Xiang, C.; Guo, J.; Jin, W.; Wu, L.; Peters, J.; Xie, W.; Chang, L.; Shen, B.; Wang, H.; Yang, Q.-F.; et al. High-performance lasers for fully integrated silicon nitride photonics. Nat. Commun. 2021, 12, 6650. [Google Scholar] [CrossRef]
  4. Liu, J.; Huang, G.; Wang, R.N.; He, J.; Raja, A.S.; Liu, T.; Engelsen, N.J.; Kippenberg, T.J. High-yield, wafer-scale fabrication of ultralow-loss, dispersion-engineered silicon nitride photonic circuits. Nat. Commun. 2021, 12, 2236. [Google Scholar] [CrossRef]
  5. Lu, J.; Shao, Z.; Wang, Y.; Zhang, Y.; Liu, L.; Yang, C.; Chen, Y.; Yu, S. Tunable Optical True Time Delay Lines Based on SiNx Arrayed Waveguide Grating and Spirals. In Proceedings of the 2017 Asia Communications and Photonics Conference (ACP), Guangzhou, China, 10–13 November 2017; pp. 1–3. [Google Scholar]
  6. Brasch, V.; Geiselmann, M.; Herr, T.; Lihachev, G.; Pfeiffer, M.H.P.; Gorodetsky, M.L.; Kippenberg, T.J. Photonic chip–based optical frequency comb using soliton Cherenkov radiation. Science 2016, 351, 357–360. [Google Scholar] [CrossRef]
  7. Chang, L.; Liu, S.; Bowers, J.E. Integrated optical frequency comb technologies. Nat. Photonics 2022, 16, 95–108. [Google Scholar] [CrossRef]
  8. Ye, Z.; Twayana, K.; Torres-Company, V. High-Q Si3N4 microresonators based on a subtractive processing for Kerr nonlinear optics. Opt. Express 2019, 27, 35719. [Google Scholar] [CrossRef] [PubMed]
  9. Xiang, C.; Jin, W.; Guo, J.; Peters, J.D.; Kennedy, M.J.; Selvidge, J.; Morton, P.A.; Bowers, J.E. Narrow-linewidth III-V/Si/Si3N4 laser using multilayer heterogeneous integration. Optica 2020, 7, 20–21. [Google Scholar] [CrossRef]
  10. Gaeta, A.L.; Lipson, M.; Kippenberg, T.J. Photonic-chip-based frequency combs. Nat. Photonics 2019, 13, 158–169. [Google Scholar] [CrossRef]
  11. Trocha, P.; Karpov, M.; Ganin, D.; Pfeiffer, M.H.P.; Kordts, A.; Wolf, S.; Krockenberger, J.; Marin-Palomo, P.; Weimann, C.; Randel, S.; et al. Ultrafast optical ranging using microresonator soliton frequency combs. Science 2019, 359, 887–891. [Google Scholar] [CrossRef]
  12. Bao, C.; Zhang, L.; Matsko, A.; Yan, Y.; Zhao, Z.; Xie, G.; Agarwal, A.M.; Kimerling, L.C.; Michel, J.; Maleki, L.; et al. Nonlinear conversion efficiency in Kerr frequency comb generation. Opt. Lett. 2014, 39, 6126–6129. [Google Scholar] [CrossRef]
  13. Xue, X.; Zheng, X.; Zhou, B. Super-efhcient temporal solitons in mutuacoupled optical cavities. Nat. Photonics 2019, 13, 616–622. [Google Scholar] [CrossRef]
  14. Helgason, Ó.B.; Girardi, M.; Ye, Z.; Lei, F.; Schröder, J.; Victor Torres Company. Power-efcient soliton microcombs. arXiv 2022, arXiv:2202.09410. [Google Scholar]
  15. Liu, J.; Lucas, E.; Raja, A.S.; He, J.; Riemensberger, J.; Wang, R.N.; Karpov, M.; Guo, H.; Bouchand, R.; Kippenberg, T.J. Photonic microwave generation in the x- and k-bandusing integrated soliton microcombs. Nat. Photonics 2020, 14, 486–491. [Google Scholar] [CrossRef]
  16. Liu, Y.; Xuan, Y.; Xue, X.; Wang, P.H.; Chen, S.; Metcalf, A.J.; Wang, J.; Leaird, D.E.; Qi, M.; Weiner, A.M. Lnvestigation of mode coupling in normal-dispersionsilicon nitride microresonators for Kerr frequency comb generation. Optica 2014, 1, 137. [Google Scholar] [CrossRef]
  17. Lucas, E. Physics Ofdissipative Kerr Solitons in Optical Microresonators and Applicationto Frequency Synthesis; Swiss Federal Institute of Technology in Lausanne: Lausanne, Switzerland, 2019. [Google Scholar]
  18. Yu, M.; Jang, J.K.; Okawachi, Y.; Griffith, A.G.; Luke, K.; Miller, S.A.; Ji, X.; Lipson, M.; Gaeta, A.L. Breather soliton dynamics in microresonators. Nat. Commun. 2017, 8, 14569. [Google Scholar] [CrossRef]
  19. Qi, Z.; Wang, S.; Jaramillo-Villegas, J.; Qi, M.; Weiner, A.M.; D’aguanno, G.; Carruthers, T.F.; Menyuk, C.R. Dissipative cnoidal waves (Turing rolls) and the soliton limit in microring resonators. Optica 2019, 6, 1220. [Google Scholar] [CrossRef]
  20. Liu, J.; Raja, A.S.; Karpov, M.; Ghadiani, B.; Pfeiffer, M.H.P.; Du, B.; Engelsen, N.J.; Guo, H.; Zervas, M.; Kippenberg, T.J. Ultralow-power chip-based soliton microcombs for photonic integration. Optica 2018, 5, 1347. [Google Scholar] [CrossRef]
  21. Pfeiffer, M.H.P.; Kordts, A.; Kippenberg, T.J. Photonic Damascene process for integrated high-Q microresonator based nonlinear photonics. Optica 2016, 3, 20–25. [Google Scholar] [CrossRef]
  22. Li, D.; Li, B.; Tang, B.; Zhang, P.; Yang, Y.; Liu, R.; Xie, L.; Li, Z. Broadband Silicon Nitride Power Splitter Based on Bent Directional Couplers with Low Thermal Sensitivity. Micromachines 2022, 13, 559. [Google Scholar] [CrossRef]
  23. Jiang, W.; Xu, D. Effect of Hyperthermal Annealing on Lpcvd Silicon Nitride. Mater. Sci. Semicond. Process. 2016, 43, 222–229. [Google Scholar] [CrossRef]
  24. Park, T.; Son, C.; Kim, T.; Lim, S. Understanding of Si3N4-H3PO4 Reaction Chemistry for the Control of Si3N4 Dissolution Kinetics. J. Ind. Eng. Chem. 2021, 102, 146–154. [Google Scholar] [CrossRef]
  25. El Dirani, H.; Youssef, L.; Petit-Etienne, C.; Kerdiles, S.; Grosse, P.; Monat, C.; Pargon, E.; Sciancalepore, C. Ultralow-loss tightly confining Si3N4 waveguides and high-Q microresonators. Opt. Express 2019, 27, 30726–30740. [Google Scholar] [CrossRef] [PubMed]
  26. Li, B.; Li, D.; Tang, B.; Li, Z. Towards monolithic low-loss silicon nitride waveguides on a mature 200 mm CMOS platform. Optic 2021, 250, 168309. [Google Scholar] [CrossRef]
  27. Zhu, Z.; Lin, P.; Wang, X.; Sun, Z.; Bai, B. Fabrication of silicon nitride-based integrated microcavity optical frequency comb devices (Invited). Infrared Laser Eng. 2022, 51, 20220214. [Google Scholar]
  28. Roeloffzen, C.G.H.; Hoekman, M.; Klein, E.J.; Wevers, L.S.; Timens, R.B.; Marchenko, D.; Geskus, D.; Dekker, R.; Alippi, A.; Grootjans, R.; et al. Low-Loss Si3N4 Triplex Optical Waveguides: Technology and Applications Overview. IEEE J. Sel. Top. Quantum Electron. 2018, 24, 4400321. [Google Scholar] [CrossRef]
  29. Shang, K.; Pathak, S.; Guan, B.; Liu, G.; Yoo, S.J.B. Low-Loss Compact Multilayer Silicon Nitride Platform for 3d Photonic Integrated Circuits. Opt. Express 2015, 23, 21334–21342. [Google Scholar] [CrossRef] [PubMed]
  30. De Oliveira, E.C.; Gerosa, R.M.; Phelan, C.; de Matos, C.J. Fabrication and Characterization of Silicon Nitride Waveguides for Mid-Infrared Applications. In Proceedings of the 2019 SBFoton International Optics and Photonics Conference (SBFoton IOPC), Sao Paulo, Brazil, 7–9 October 2019. [Google Scholar]
  31. Huang, Y.; Song, J.; Luo, X.; Liow, T.-Y.; Lo, G.-Q. CMOS compatible monolithic multi-layer Si3N4-on-SOI platform for low-loss high performance silicon photonics dense integration. Opt. Express 2014, 22, 21859–21865. [Google Scholar] [CrossRef] [PubMed]
  32. Mao, S.C.; Tao, S.H.; Xu, Y.L.; Sun, X.W.; Yu, M.B.; Lo, G.Q.; Kwong, D.L. Low propagation loss SiN optical waveguide prepared by optimal low-hydrogen module. Opt. Express 2008, 16, 20809–20816. [Google Scholar] [CrossRef] [PubMed]
  33. Wang, L.; Xie, W.; Van Thourhout, D.; Zhang, Y.; Yu, H.; Wang, S. Nonlinear Silicon Nitride Waveguides Based on a Pecvd Deposition Platform. Opt. Express 2018, 26, 9645–9654. [Google Scholar] [CrossRef]
  34. Krückel, C.J.; Fülöp, A.; Klintberg, T.; Bengtsson, J.; Andrekson, P.A.; Torres-Company, V. Linear and nonlinear characterization of low-stress high-confinement silicon-rich nitride waveguides. Opt. Express 2015, 23, 25827–25837. [Google Scholar] [CrossRef]
  35. YiKai, H.; PeiHsun, W. CMOS-compatible 6-inch wafer integration of photonic waveguides and uniformity analysis. Opt. Express 2024, 32, 7197–7206. [Google Scholar]
Figure 1. SiN damascene process flow.
Figure 1. SiN damascene process flow.
Photonics 11 00375 g001
Figure 2. (a) Optical microscope image of SiN spiral waveguides with three different lengths. (b) Schematic cross-section of a rectangular SiN waveguide, simulated TE and TM distributions in waveguide. (c) The photography of the fabricated 8-inch wafer. (d) The measured transmission spectra of spiral waveguides at Die 7 in the wavelength range of 1260–1380 nm. (e) Insertion loss and their linear fitting result versus waveguide length. (f) Wafer-scale propagation loss at different dies.
Figure 2. (a) Optical microscope image of SiN spiral waveguides with three different lengths. (b) Schematic cross-section of a rectangular SiN waveguide, simulated TE and TM distributions in waveguide. (c) The photography of the fabricated 8-inch wafer. (d) The measured transmission spectra of spiral waveguides at Die 7 in the wavelength range of 1260–1380 nm. (e) Insertion loss and their linear fitting result versus waveguide length. (f) Wafer-scale propagation loss at different dies.
Photonics 11 00375 g002
Figure 3. (a) Schematic diagram of the 2 × 2 MMI 3-dB coupler. (b) Simulated transmission spectra of the through and cross ports of the coupler, the inset is electric field distribution along the propagation. (c) Simulated EL of the through and cross ports and IM across the wavelength from 1270 to 1350 nm. (d) Optical microscope image of the fabricated 2 × 2 MMI tree, and the inset is an enlarged view of a single MMI. (e) The measured power from the first five ports and their linear fitting. (f) The EL and IM results of the MMI coupler across the wavelength range of 1270–1350 nm.
Figure 3. (a) Schematic diagram of the 2 × 2 MMI 3-dB coupler. (b) Simulated transmission spectra of the through and cross ports of the coupler, the inset is electric field distribution along the propagation. (c) Simulated EL of the through and cross ports and IM across the wavelength from 1270 to 1350 nm. (d) Optical microscope image of the fabricated 2 × 2 MMI tree, and the inset is an enlarged view of a single MMI. (e) The measured power from the first five ports and their linear fitting. (f) The EL and IM results of the MMI coupler across the wavelength range of 1270–1350 nm.
Photonics 11 00375 g003
Figure 4. Optical microscope image of a micro-ring with a width of 0.82 µm (a) and 15 µm (b). Optical transmission spectrum for 0.82 µm (c) and 15 µm (d). The measured intrinsic quality (Q) factor with width of 0.82 µm (e) is 5.3 × 105 and 15 µm (f) is 2.4 × 106. The optical microscope image insets of (e,f) show the coupling region of the resonators.
Figure 4. Optical microscope image of a micro-ring with a width of 0.82 µm (a) and 15 µm (b). Optical transmission spectrum for 0.82 µm (c) and 15 µm (d). The measured intrinsic quality (Q) factor with width of 0.82 µm (e) is 5.3 × 105 and 15 µm (f) is 2.4 × 106. The optical microscope image insets of (e,f) show the coupling region of the resonators.
Photonics 11 00375 g004
Figure 5. (a) Schematic of optical frequency comb generation. (b) Schematic of four-wave mixing. (c) Q distribution of resonator with the width of 15 µm. (d) Evolution of cavity energy with detuning in the simulation. (e) Spectrum of the comb obtained in the simulation. (f) Spectrum of the comb obtained in the experiment with pump power 500 mW.
Figure 5. (a) Schematic of optical frequency comb generation. (b) Schematic of four-wave mixing. (c) Q distribution of resonator with the width of 15 µm. (d) Evolution of cavity energy with detuning in the simulation. (e) Spectrum of the comb obtained in the simulation. (f) Spectrum of the comb obtained in the experiment with pump power 500 mW.
Photonics 11 00375 g005
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Zhou, Q.; Jin, Y.; Zheng, S.; Zhao, X.; Qiu, Y.; Jia, L.; Dong, Y.; Zhong, Q.; Hu, T. Damascene Process Development for Low-Loss Photonics Devices with Applications in Frequency Comb. Photonics 2024, 11, 375. https://doi.org/10.3390/photonics11040375

AMA Style

Zhou Q, Jin Y, Zheng S, Zhao X, Qiu Y, Jia L, Dong Y, Zhong Q, Hu T. Damascene Process Development for Low-Loss Photonics Devices with Applications in Frequency Comb. Photonics. 2024; 11(4):375. https://doi.org/10.3390/photonics11040375

Chicago/Turabian Style

Zhou, Qiaoling, Yejia Jin, Shaonan Zheng, Xingyan Zhao, Yang Qiu, Lianxi Jia, Yuan Dong, Qize Zhong, and Ting Hu. 2024. "Damascene Process Development for Low-Loss Photonics Devices with Applications in Frequency Comb" Photonics 11, no. 4: 375. https://doi.org/10.3390/photonics11040375

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop