Next Article in Journal
Synthesis, Characterization, Corrosion Resistance and In-Vitro Bioactivity Behavior of Biodegradable Mg–Zn–Mn–(Si–HA) Composite for Orthopaedic Applications
Previous Article in Journal
The Influence of Dosing Method and Material Characteristics of Superabsorbent Polymers (SAP) on the Effectiveness of the Concrete Internal Curing
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Letter

Enhanced Electrical Properties of Atomic Layer Deposited LaxAlyO Thin Films with Stress Relieved Preoxide Pretreatment

Key Laboratory for Wide-Band Gap Semiconductor Materials and Devices of Education, School of Microelectronics, Xidian University, Xi’an 710071, China
*
Author to whom correspondence should be addressed.
Materials 2018, 11(9), 1601; https://doi.org/10.3390/ma11091601
Submission received: 6 August 2018 / Revised: 29 August 2018 / Accepted: 31 August 2018 / Published: 3 September 2018
(This article belongs to the Section Advanced Materials Characterization)

Abstract

:
The impact of stress relieved preoxide (SRPO) interface engineering on the physical and electrical properties of LaxAlyO films was investigated. It was proved that the SRPO pretreatment has little influence on the surface morphology of LaxAlyO films and the chemical bond composition of LaxAlyO/Si interface. However, the SRPO pretreated MIS capacitor displayed obvious improvement in decreasing the amount of trapped oxide charges and interfacial traps. As a result, a reduction of more than one order of magnitude in the gate leakage current density was obtained. The breakdown field strength and TDDB reliability of the LaxAlyO film treated with SRPO were also enhanced.

1. Introduction

The aggressive scaling of complementary metal oxide semiconductor (CMOS) devices that came along with the scaling of SiO2 based gate dielectrics leads to unacceptable gate leakage currents [1]. To solve this problem, significant progress has been made on high-k/metal gate stack for the last two decades [2,3,4,5]. Presently, HfO2 in combination with metal gate electrodes have already been introduced for transistor production into the 45 nm Si-CMOS process line [6]. Nevertheless, in order to maintain the shrinking path, the development of higher permittivity gate dielectrics exceeding HfO2 is required for continued equivalent oxide thickness (EOT) scaling. Among various dielectric materials, LaxAlyO is a promising alternative for the post-HfO2 films due to its high dielectric constant (k~25–27), wide band gap (>5 eV), and high band offsets with respect to Si (>2 eV) [7,8]. However, the deposition of high-k gate dielectric films, whether HfO2 or LaxAlyO, on Si substrate, results in poorer interfaces between gate dielectrics and Si than that between thermally grown SiO2 and Si substrate [9,10]. High-density defects in the bulk of high-k gate dielectrics and in the interfaces of the gate stack structures are the major causes for instability problems as well as mobility degradation [11]. Therefore, the formation of some sort of high-quality interfacial layer seems desirable and unavoidable as a transition from high-k dielectric to Si substrate to reduce the density of interfacial defects [3]. Considering this, a defect passivation method of forming an extremely thin stress relieved thermal SiO2 interfacial layer by a stress relieved pre-oxide (SRPO) pretreatment [12,13] was carried out to improve the interfacial properties between LaxAlyO dielectric and Si substrate in this paper. Attention was focused on the physical and electrical performance of LaxAlyO film affected by this method.

2. Experimental

Two kinds of p-type Si (100) substrate surfaces treated with standard RCA cleaning process and SRPO pretreatment were prepared before the deposition of LaxAlyO films. The SRPO pretreatment was carried out by placing the RCA cleaned Si wafer in O2 ambient at 1000 °C for 45 s to relieve interfacial stress [14], followed by dipping into a diluted HF solution (HF:H2O = 1:700) for 120 s to remove the thermally grown SiO2. LaxAlyO films were grown in a Picosun R-150 atomic layer deposition reactor by alternately depositing La2O3 and Al2O3 using La(i-PrCp)3 and TMA as the La and Al precursor while O3 was used as the oxidant. A typical ALD growth cycle for La2O3 was 0.1 s La(i-PrCp)3 pulse/4 s N2 purge/0.3 s O3 pulse/10 s N2 purge, and an Al2O3 ALD cycle structure was composed of 0.1 s TMA pulse/3 s N2 purge/0.5 s O3 pulse/4 s N2 purge. By varying the number of ALD cycles, 5 nm LaxAlyO films were deposited on these two kinds of Si substrate surfaces simultaneously at 300 °C. Post-deposition annealing (PDA) was carried out at 600 °C for 60 s in vacuum ambient.
The thickness of SiO2 on Si substrate before and after the SRPO pretreatment was measured by a spectroscopic ellipsometry (SE) system (J.A. Woollam Co. M2000U, Lincoln, NE, USA), as well as by the thickness of LaxAlyO films before and after the PDA treatment. The surface morphology of the LaxAlyO films was monitored using an atomic force microscope (AFM). X-ray photoelectron spectroscopy (XPS) was employed to investigate the bonding structures and chemical states of LaxAlyO/Si interface. In order to evaluate the electrical properties of the LaxAlyO films, MIS capacitors were fabricated by magnetron sputtering Ni/Al (20 nm/150 nm) electrodes on the surface of the wafers through a shadow mask (gate area of 7.07 × 10−4 cm2), and Al was sputtered as the back contact metal, followed by annealing in 97% N2/3% H2 ambient for 20 min at 400 °C. The electrical properties including capacitance-voltage (C-V), conductance-voltage (G-V), leakage current-voltage (I-V), and time-dependent dielectric breakdown (TDDB) characteristics were evaluated using an Agilent B1500A semiconductor parameter analyzer.

3. Results and Discussion

Compared with the standard RCA cleaning process, the steps of growing a relatively thick thermal oxide on the surface of Si substrate followed by dipping into a diluted HF solution were added in the SRPO pretreatment. The influence of these added steps on the surface morphology of Si substrates would certainly extend to the upper LaxAlyO films grown later by ALD. Considering this, the SiO2 thickness on the surface of Si substrates was measured and analyzed statistically after different SRPO pretreatment steps. As shown in Table 1, the thickness of SiO2 was obtained by averaging the testing values of different positions on Si substrates, and the corresponding 95% confidence interval was also given. The thickness of native SiO2 on the surface of Si substrate was measured to be 2.31 nm. After the standard RCA cleaning process, the native SiO2 was reduced to 0.67 nm, with a narrow confidence interval of (0.596 nm, 0.744 nm), indicating the standard RCA cleaning process has a good effect in thinning the native SiO2 while keeping a high-quality surface uniformity.
When the thermal oxidation process was carried out to the RCA cleaning treated Si substrates, the thickness of SiO2 on the surface of Si substrates increased to 4.04 nm, which illustrates a relatively thick SiO2 layer was formed after the thermal oxidation process in O2 ambient for 45 s. Compared with the RCA cleaning treated Si substrate, the confidence interval appeared as a relatively wider distribution of (3.764 nm, 4.316 nm) at this time. Such a wider confidence interval indicates the thickness measurement results are relatively discrete, which may be caused by the inhomogeneous chemical reactions at different parts of Si substrate surface during the thermal oxidation process [15]. However, once the oxidized Si substrates were treated with diluted HF solution, the average thickness of SiO2 left on Si substrate surface turned out to be 0.65 nm, and the confidence interval was worked out as (0.537 nm, 0.763 nm). These values are comparable to what was observed after the standard RCA cleaning process, indicating the thermal oxidation process did not degrade the surface uniformity of Si substrate.
For further investigating the influence of SRPO pretreatment on the surface morphologies of LaxAlyO films, the surface of the LaxAlyO films was examined by AFM. Figure 1 gives a typical three-dimensional AFM image of the annealed LaxAlyO films. In a small scanning area (1 × 1 μm2), the surface roughness of the LaxAlyO films without (Figure 1a) and with SRPO pretreatment, shown in Figure 1b, are 0.35 and 0.33 nm in root mean square (RMS), respectively. On the one hand, such small RMS values illustrate that the surface morphologies of LaxAlyO films grown in this work are very flat and smooth, which is benefit from the nanoscale thickness controllability of the ALD technique [16]. On the other hand, compared with the RCA cleaning treated sample, there is no obvious change in the surface rough-ness of LaxAlyO film with SRPO pretreatment, indicating the SRPO pretreatment has only a slight impact on the surface morphology of LaxAlyO film. Even though scanned in a relatively large area (10 × 10 μm2), the surface morphologies of the LaxAlyO films without and with SRPO pretreatment still show no obvious disparity in the surface roughness. That is, both of the two samples appear flat with uniform distribution, with the RMS value of 0.70, seen in Figure 1c, and and 0.62 nm, seen in Figure 1d.
As shown in Figure 2, the variations in Si 2s XPS spectra for the annealed LaxAlyO films were analyzed to investigate the chemical bonding states near the LaxAlyO film and Si substrate interfaces. The LaxAlyO films were sputter-etched with Ar+ ions for 15 s (0.26 nm/s) to obtain XPS signals from LaxAlyO/Si interfaces. C 1s peak from adventitious carbon at 284.6 eV was used as a calibration reference during the XPS analysis [17]. The Si 2s spectra were fitted with three Gaussian-Lorentzian line shape peaks, which are at 150.6 (I), 152.2 (II), and 154.0 eV (III). Among the three peaks, peak I corresponds to the chemical bond of Si-Si, originating from Si substrate [18]. Peak II and III, corresponding to La-O-Si and Si-O-Si respectively, are likely present due to the existence of SiOx and La-silicate which are the main components of interfacial layer (IL) between LaxAlyO film and Si substrate [19]. For both La-O-Si and Si-O-Si peaks, the difference of the intensity between Figure 2a and Figure 2b is negligible, which indicates the SRPO pretreatment has almost no impact on the amount of La-O-Si and Si-O-Si chemical bonds. That is, the SRPO pretreatment on the Si substrate did not affect the chemical bond composition of IL in the later deposition and annealing process.
Figure 3 shows the C-V and G-V characteristics of the fabricated MIS capacitors using the annealed LaxAlyO films as insulators. For simplicity, the MIS capacitors using LaxAlyO films grown on Si substrates without and with SRPO pretreatment as insulators were assigned as capacitor S1 and capacitor S2, respectively. C-V measurements were performed at the frequency of 100 kHz. The gate applied voltage was biased from positive to negative (backward sweep) and followed by an opposite direction (forward sweep) to check the amount of C-V hysteresis. G-V curves were obtained simultaneously with the C-V curves swept from positive to negative. The flat band voltages (VFB) were extracted by fitting the C-V data using NCSU CVC program taking into account of quantum-mechanical effects [20]. The VFB values for the backward swept C-V curves of capacitor S1, as seen in Figure 3a, and capacitor S2, shown in Figure 3b, were extracted as 0.005 and 0.142 V, respectively. However, the doping concentration of Si substrate used in this work is 5.0 × 1015 cm−3, considering the work function difference between Si substrate and Ni/Al metal gate electrode, the ideal VFB could be worked out as 0.23 V [21,22]. So compared with ideal VFB, the C-V curves for both capacitor S1 and capacitor S2 show a VFB shift towards the negative direction, which is an indication of the presence of effective positive oxide charges in the bulk of the insulator or in the interfacial region [23,24]. Taking ideal VFB as a reference, a smaller shifting value of VFB was obtained in capacitor S2 compared with that of capacitor S1, which indicates that, to a certain extent, the generation of effective positive oxide charges in the LaxAlyO film and IL was restrained after the SRPO pretreatment on Si substrate.
Different degrees of counter-hysteresis were observed in the dual-swept C-V curves of Figure 3a,b. Such hysteretic behavior in the C-V characteristics is usually attributed to the trapping effects, or to be more specific, the counter-clockwise C-V hystereses indicate the existence of positive trapped charges in the interfacial region or in the bulk of the insulator [25]. The hysteresis width (ΔVFB) extracted from the dual-swept C-V curves for the MIS capacitor S1 and S2 are 0.131 and 0.015 V, respectively. For capacitor S1, a larger ΔVFB of the dual-swept C-V curves illustrates the existence of more oxide trapped charges in the gate dielectric deposited on Si substrates without SRPO pretreatment. Assuming the two-dimensional distribution of traps in the vicinity of the interface contributing to the film capacitance, the oxide trapped charge density (Not) for capacitor S1 and S2 can be estimated following the Equations [26,27]:
C ox   = C ac [ 1 + ( G ac ω C ac ) ]
N ot   = Δ V FB C ox q A
where Cox is the gate insulator capacitance, Cac is the measured accumulation capacitance, Gac is the conductance in accumulation region, q is the electron charge (1.602 × 1019 C), A is the electrode area, and ω is the angular frequency. The calculation results are shown in Table 2. As expected, a remarkable decrease in Not was obtained for capacitor S2 (9.65 × 1011 cm−2) compared with that of capacitor S1 (1.06 × 1011 cm−2). It is generally known that trapped charge is one kind of oxide charges, so we can deduce that the positive trapped charges contribute to part of the effective positive oxide charges which cause the negative shift of VFB as analysed before.
Besides, it is worth noting that, compared with what is shown in Figure 3b, the C-V curves for MIS capacitor S1, seen in Figure 3a) exhibit a more obvious anomalous hump phenomenon in the weak inversion region. It is reported that the appearance of humps in C-V curves is the characteristic features of the presence of interfacial slow traps [28]. Therefore, the more obvious anomalous hump phenomenon shown in Figure 3a indicates the formation of more interfacial traps at the LaxAlyO/Si interface without SRPO pretreatment on Si substrate. The interfacial state density (Dit) could be determined from the combination of the backward swept C-V and G-V characteristics using the following relation of Hill’s method [29]:
D it   = 2 q A G max ω [ ( G max ω C ox ) 2 + ( 1 C max C ox ) 2 ]
where A is the area of the gate electrode, Cox is the gate oxide capacitance as defined in Equation (1), Gmax is the peak value of conductance (obtained from G-V curves) and Cmax is the capacitance corresponding to Gmax. The Dit value for capacitor S1 without SRPO pretreatment was worked out as 1.62 × 1012 eV−1 cm−2, and a much smaller Dit value of 4.19 × 1011 eV−1 cm−2 was achieved in capacitor S2 with SRPO pretreatment. Such a result is consistent with the varying degrees of humps in the C-V curves, indicating the SRPO pretreatment on Si substrate can effectively decrease the amount of interfacial traps.
Figure 4 shows the leakage current density as a function of the applied electrical field for the fabricated MIS capacitor S1 and S2. At the applied electrical field of −7 MV/cm, the leakage current density for MIS capacitor S1 was measured to be 2.25 × 10−4 A/cm2. However, at the same applied electrical field, the leakage current density values for MIS capacitor S2 was determined as 7.24 × 10−6 A/cm2. Compared with MIS capacitor S1 without SRPO pretreatment, a decrease of more than one order of magnitude in the leakage current density was obtained for MIS capacitor S2 treated with SRPO process. Besides, it was found that the breakdown field strength for MIS capacitor S2 (~9.0 MV/cm) is appreciably higher than that of MIS capacitor S1 (~7.8 MV/cm). We ascribe this difference of gate leakage behaviors to the influence of LaxAlyO/Si interface quality which was considered to be associated with structural defects [30]. The analyses on the C-V and G-V characteristics for MIS capacitor S1 and S2 revealed that the SRPO pretreatment on Si substrate contributes a favorable effect in restraining the generation of oxide charges and interfacial traps. Such a favorable effect is attributed to the reduction of structural defects nearby LaxAlyO/Si interface such as oxygen vacancies and dangling bonds caused by the relief of interfacial stress, i.e., the re-combination and re-arrangement of interfacial chemical bonds, taking place in the thermal oxidation process (1000 °C, 45 s) of SRPO pretreatment. Less structural defects mean a smaller possibility to form a conduction path connecting Si substrate to the gate electrode in MIS capacitor S2 [31], resulting in lower leakage current density and higher breakdown field strength.
Constant voltage stress (CVS) with a negative bias on the gate was applied to investigate the TDDB characteristics of the fabricated MIS capacitor S1 and S2 as shown in Figure 5. The applied electrical field was −7.0 MV/cm, which was close to the stress at which the hard breakdown (HBD) event occurred. It was observed that, with the increase of stress time, the TDDB characteristics for the two MIS capacitors changed in the same trend. That is, the leakage current decreases slightly in the early stage of the measurements by electron trapping phenomena, and then the current increases by hole trapping or by neutralization of trapped electrons to break down. According to the changing trend of gate leakage current, the TDDB characteristics could be defined into three regions, i.e., fresh region, soft breakdown (SBD) region and HBD region [32], respectively.
In the TDDB measurement, SBD has been reported to be closely dependent on the quality of interfacial layer [33]. Starting with the formation of multi unstable localized conduction paths in the interfacial layer, the gate stack does not breakdown completely in the SBD region. However, along with the increase of stress time, the localized conduction paths expand and drive into high-k layer, resulting in a gate punch through in the HBD region [34]. In this work, time-to-breakdown (Tbd) is defined as the time at which HBD occurs. Then it could be observed that the Tbd for MIS capacitor S2 is about 11,700 s, which is much larger than that of MIS capacitor S1 (~6900 s). The extension in Tbd for MIS capacitor S2 was suspected to benefit from the improvement of LaxAlyO/Si interface quality. On the one hand, compared with MIS capacitor S1 only treated with RCA cleaning, there should be fewer intrinsic defects nearby the LaxAlyO/Si interface of MIS capacitor S2 treated with SRPO process; on the other hand, the chemical bonds of LaxAlyO/Si interface formed in the thermal oxidation process of SRPO pretreatment should be more stable than those of RCA cleaning treated sample, which would decrease the probability of generating extra structural defects under the gate applied stress [35,36]. As a result, compared with MIS capacitor S1, a longer time, or a larger Tbd, would be needed for accumulating defects to reach the critical point of HBD in MIS capacitor S2.

4. Conclusions

In summary, a comparative study on the physical and electrical properties of LaxAlyO films grown by ALD on Si substrates treated with standard RCA cleaning process and SRPO pretreatment was provided. Some encouraging results were demonstrated with the SRPO pretreatment due to the reduction of bulk defects in LaxAlyO film and the improvement of interface quality. Compared to the control sample, the MIS capacitor treated with SRPO process resulted in more than one order of magnitude gate leakage current density reduction, higher breakdown field strength and more stable TDDB reliability.

Author Contributions

X.W. generated the research idea, analyzed the data, and wrote the paper. X.W. and L.Z. carried out the experiments and the measurements. Y.W. participated in the discussions. H.L. has given the final approval of the version to be published. All authors read and approved the final manuscript.

Funding

This research is financially supported by the National Natural Science Foundation of China (Grant No. 61434007), the Foundation for Fundamental Research of China (Grant No. JSZL2016110B003) and the China Postdoctoral Science Foundation (Grant No. 2018M633460).

Acknowledgments

The support of this work by the Fundamental Research Funds for the Central Universities (JB181105) is gratefully acknowledged.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Ieong, M.; Narayanan, V.; Singh, D.; Topol, A.; Chan, V.; Ren, Z. Transistor scaling with novel materials. Mater. Today 2006, 9, 26–31. [Google Scholar] [CrossRef]
  2. He, G.; Sun, Z.Q.; Li, G.; Zhang, L.D. Review and perspective of Hf-based high-k gate dielectrics on silicon. Crit. Rev. Solid State Mater. Sci. 2012, 37, 131–157. [Google Scholar] [CrossRef]
  3. Robertson, J.; Wallace, R.M. High-k materials and metal gates for CMOS applications. Mater. Sci. Eng. R Rep. 2015, 88, 1–41. [Google Scholar] [CrossRef] [Green Version]
  4. Li, X.; Yajima, T.; Nishimura, T.; Toriumi, A. Study of Si kinetics in interfacial SiO2 scavenging in HfO2 gate stacks. Appl. Phys. Express 2015, 8, 061304. [Google Scholar] [CrossRef]
  5. Deng, J.; Cheng, J.; Chen, X.B. An improved SOI p-channel LDMOS with high-k gate dielectric and dual hole-conductive paths. IEEE Electron Device Lett. 2017, 38, 1712–1715. [Google Scholar] [CrossRef]
  6. Mistry, K.; Allen, C.; Auth, C.; Beattie, B.; Bergstrom, D.; Bost, M.; Brazier, M.; Buehler, M.; Cappellani, A.; Chau, R.; et al. A 45 nm logic technology with high-k+metal gate transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100% Pb-free packaging. In Proceedings of the 2007 IEEE International Electron Devices Meeting, Washington, DC, USA, 10–12 December 2007; pp. 247–250. [Google Scholar]
  7. Pelloquin, S.; Saint-Girons, G.; Baboux, N.; Albertini, D.; Hourani, W.; Penuelas, J.; Grenet, G.; Plossu, C.; Hollinger, G. LaAlO3/Si capacitors: Comparison of different molecular beam deposition conditions and their impact on electrical properties. J. Appl. Phys. 2013, 113, 034106. [Google Scholar] [CrossRef]
  8. Breckenfeld, E.; Wilson, R.B.; Martin, L.W. Effect of growth induced (non)stoichiometry on the thermal conductivity, permittivity, and dielectric loss of LaAlO3 films. Appl. Phys. Lett. 2013, 103, 082901. [Google Scholar] [CrossRef]
  9. Couso, C.; Porti, M.; Martin-Martinez, J.; Garcia-Loureiro, A.J.; Seoane, N.; Nafria, M. Local defect density in polycrystalline high-k dielectrics cafm-based evaluation methodology and impact on MOSFET variability. IEEE Electron Device Lett. 2017, 38, 637–640. [Google Scholar] [CrossRef]
  10. Suzuki, M. Comprehensive study of lanthanum aluminate high-dielectric-constant gate oxides for advanced CMOS devices. Materials 2012, 5, 443–477. [Google Scholar] [CrossRef] [PubMed]
  11. Cerbu, F.; Madia, O.; Andreev, D.V.; Fadida, S.; Eizenberg, M.; Breuil, L.; Lisoni, J.G.; Kittl, J.A.; Strand, J.; Shluger, A.L.; et al. Intrinsic electron traps in atomic-layer deposited HfO2 insulators. Appl. Phys. Lett. 2016, 108, 222901. [Google Scholar] [CrossRef]
  12. Tseng, H.H.; Tobin, P.J.; Kalpat, S.; Schaeffer, J.K.; Ramón, M.E.; Fonseca, L.R.C.; Jiang, Z.X.; Hegde, R.I.; Triyoso, D.H.; Semavedam, S. Defect passivation with fluorine and interface engineering for Hf-based high-k metal gate stack device reliability and performance enhancement. IEEE Trans. Electron Device 2007, 54, 3267–3275. [Google Scholar] [CrossRef]
  13. Clik-Butler, Z.; Devireddy, S.P.; Tseng, H.H.; Tobin, P.; Zlotnicka, A. A low-frequency noise model for advanced gate-stack MOSFETs. Microelectron. Reliab. 2009, 49, 103–112. [Google Scholar] [CrossRef]
  14. Li, F.; Tseng, H.H.; Register, L.F.; Tobin, P.J.; Banerjee, S.K. Asymmetry in Gate Capacitance-Voltage (C-V) Behavior of ultrathin metal gate MOSFETs with HfO2 gate dielectrics. IEEE Trans. Electron Devices 2006, 53, 1943–1946. [Google Scholar] [CrossRef]
  15. Schaefer, A.; Zielasek, V.; Schmidt, T.; Sandell, A.; Schowalter, M.; Seifarth, O.; Walle, L.E.; Schulz, C.; Wollschläger, J.; Schroeder, T.; et al. Growth of praseodymium oxide on Si(111) under oxygen-deficient conditions. Phys. Rev. B 2009, 80, 045414. [Google Scholar] [CrossRef]
  16. Johnson, R.W.; Hultqvist, A.; Bent, S.F. A brief review of atomic layer deposition from fundamentals to applications. Mater. Today 2014, 17, 236–246. [Google Scholar] [CrossRef]
  17. Xiong, Y.H.; Tu, H.L.; Du, J.; Wei, F.; Zhang, X.Q.; Yang, M.M.; Zhao, H.B.; Chen, D.P.; Wang, W.W. Epitaxial growth and electrical properties of ultrathin La2Hf2O7 high-k gate dielectric films. Appl. Surf. Sci. 2013, 283, 554–558. [Google Scholar] [CrossRef]
  18. Kim, J.; Kim, H.C.; Wallace, R.M.; Park, T.J. In-Situ XPS Study on ALD (Atomic Layer Deposition) of High-k Dielectrics La2O3 using La-formidinate and Ozone. ECS Trans. 2012, 45, 95–101. [Google Scholar] [CrossRef]
  19. Gao, L.G.; Yin, K.B.; Chen, L.; Guo, H.X.; Xia, Y.D.; Yin, J.; Liu, Z.G. The effect of Si surface nitridation on the interfacial structure and electrical properties of (La2O3)0.5(SiO2)0.5 high-k gate dielectric films. Appl. Surf. Sci. 2009, 256, 90–95. [Google Scholar] [CrossRef]
  20. Hauser, J.R.; Ahmed, K. Characterization of ultra-thin oxides using electrical C-V and I-V measurements. AIP Conf. Proc. 1998, 449, 235–239. [Google Scholar]
  21. Kim, H.; Woo, S.; Lee, J.; Kim, H.; Kim, Y.; Lee, H.; Jeon, H. The Effects of Annealing Ambient on the Characteristics of La2O3 Films Deposited by RPALD. J. Electrochem. Soc. 2010, 157, H479–H482. [Google Scholar] [CrossRef]
  22. Neamen, D.A. Semiconductor Physics and Devices, 3rd ed.; McGraw-Hill: New York, NY, USA, 2003; p. 328. [Google Scholar]
  23. Shekhter, P.; Chaudhuri, A.R.; Laha, A.; Yehezkel, S.; Shriki, A.; Osten, H.J.; Eizenberg, M. The influence of carbon doping on the performance of Gd2O3 as high-k gate dielectric. Appl. Phys. Lett. 2014, 105, 262901. [Google Scholar] [CrossRef]
  24. Kang, H.S.; Reddy, M.S.P.; Kim, D.S.; Kim, K.W.; Ha, J.B.; Lee, Y.S.; Choi, H.C.; Lee, J.H. Effect of oxygen species on the positive flat-band voltage shift in Al2O3/GaN metal-insulator-semiconductor capacitors with post-deposition annealing. J. Phys. D Appl. Phys. 2013, 46, 155101. [Google Scholar] [CrossRef]
  25. Daus, A.; Vogt, C.; Münzenrieder, N.; Petti, L.; Knobelspies, S.; Cantarella, G.; Luisier, M.; Salvatore, G.A.; Tröster, G. Positive charge trapping phenomenon in n-channel thin-film transistors with amorphous alumina gate insulators. J. Appl. Phys. 2016, 120, 244501. [Google Scholar] [CrossRef] [Green Version]
  26. Sze, S.M.; Ng, K.K. Physics of Semiconductor Devices, 3rd ed.; John Wiley & Sons, Inc.: Hoboken, NJ, USA, 2006; p. 225. [Google Scholar]
  27. Nicollian, E.H.; Brews, J.R. MOS Physics and Technology; John Wiley & Sons, Inc.: New York, NY, USA, 1982; p. 223. [Google Scholar]
  28. Sahu, B.S.; Ahn, J.K.; Xian, C.J.; Yoon, S.G.; Srivastava, P. Experimental investigation of interfacial and electrical properties of post-deposition annealed Bi2Mg2/3Nb4/3O7 (BMN) dielectric filmson silicon. J. Phys. D Appl. Phys. 2008, 41, 135311. [Google Scholar] [CrossRef]
  29. Hill, W.A.; Coleman, C.C. A single-frequency approximation for interface-state density determination. Solid State Electron. 1980, 23, 987–993. [Google Scholar] [CrossRef]
  30. Spahr, H.; Bülow, T.; Nowak, C.; Hirschberg, F.; Reinker, J.; Hamwi, S.; Johannes, H.H.; Kowalsky, W. Impact of morphological defects on the electrical breakdown of ultra thin atomic layer deposition processed Al2O3 layers. Thin Solid Films 2013, 534, 172–176. [Google Scholar] [CrossRef]
  31. Jinesh, K.B.; Klootwijk, J.H.; Lamy, Y.; Wolters, R.; Tois, E.; Tuominen, M.; Roozeboom, F.; Besling, W.F.A. Enhanced electrical properties of atomic layer deposited La2O3 thin films with embedded ZrO2 nanocrystals. Appl. Phys. Lett. 2008, 93, 172904. [Google Scholar] [CrossRef]
  32. Ho, C.H.; Kim, S.Y.; Roy, K. Ultra-thin dielectric breakdown in devices and circuits: A brief review. Microelectron. Reliab. 2015, 55, 308–317. [Google Scholar] [CrossRef]
  33. Hassan, M.K.; Roy, K. Investigation of dependence between time-zero and time-dependent variability in high-k NMOS transistors. Microelectron. Reliab. 2017, 70, 22–31. [Google Scholar] [CrossRef]
  34. Hsieh, E.R.; Chung, S.S. The understanding on the evolution of stress-induced gate leakage in high-k dielectric metal-oxide-field-effect transistor by random-telegraph-noise measurement. Appl. Phys. Lett. 2015, 107, 243506. [Google Scholar] [CrossRef]
  35. Chu, C.M.; Lin, Y.C.; Lee, W.I.; Dee, C.F.; Wong, Y.Y.; Majlis, B.Y.; Salleh, M.M.; Yap, S.L.; Chang, E.Y. Reliability study of high-k La2O3/HfO2 and HfO2/La2O3 stacking layers on n-In0.53Ga0.47As metal-oxide-semiconductor capacitor. Appl. Phys. Express 2016, 9, 021203. [Google Scholar] [CrossRef]
  36. Okada, K.; Kurimoto, K.; Suzuki, M. Anomalous TDDB statistics of gate dielectrics caused by charging-induced dynamic stress relaxation under constant-voltage stress. IEEE Trans. Electron Devices 2016, 63, 2268–2274. [Google Scholar] [CrossRef]
Figure 1. Atomic force microscope (AFM) images of LaxAlyO films grown by ALD on Si substrates (a) without stress relieved preoxide (SRPO) pretreatment (1 × 1 μm2), (b) with SRPO pretreatment (1 × 1 μm2), (c) without SRPO pretreatment (10 × 10 μm2), and (d) with SRPO pretreatment (10 × 10 μm2).
Figure 1. Atomic force microscope (AFM) images of LaxAlyO films grown by ALD on Si substrates (a) without stress relieved preoxide (SRPO) pretreatment (1 × 1 μm2), (b) with SRPO pretreatment (1 × 1 μm2), (c) without SRPO pretreatment (10 × 10 μm2), and (d) with SRPO pretreatment (10 × 10 μm2).
Materials 11 01601 g001
Figure 2. Shallow core-level spectra of Si 2s for the LaxAlyO films grown by ALD on Si substrates (a) without stress relieved preoxide (SRPO) pretreatment, and (b) with SRPO pretreatment.
Figure 2. Shallow core-level spectra of Si 2s for the LaxAlyO films grown by ALD on Si substrates (a) without stress relieved preoxide (SRPO) pretreatment, and (b) with SRPO pretreatment.
Materials 11 01601 g002
Figure 3. C-V and G-V characteristics measured at 100 kHz for the fabricated MIS capacitors using annealed LaxAlyO films grown on Si substrates (a) without, and (b) with stress relieved preoxide (SRPO) pretreatment as insulators.
Figure 3. C-V and G-V characteristics measured at 100 kHz for the fabricated MIS capacitors using annealed LaxAlyO films grown on Si substrates (a) without, and (b) with stress relieved preoxide (SRPO) pretreatment as insulators.
Materials 11 01601 g003
Figure 4. Leakage current-voltage characteristics for the fabricated MIS capacitors S1 and S2.
Figure 4. Leakage current-voltage characteristics for the fabricated MIS capacitors S1 and S2.
Materials 11 01601 g004
Figure 5. Time-dependent dielectric breakdown (TDDB) characteristics for the fabricated MIS capacitor S1 and S2 at the applied electrical field of −7.0 MV/cm.
Figure 5. Time-dependent dielectric breakdown (TDDB) characteristics for the fabricated MIS capacitor S1 and S2 at the applied electrical field of −7.0 MV/cm.
Materials 11 01601 g005
Table 1. Thickness of SiO2 on the surface of Si substrates measured after different stress relieved pre-oxide (SRPO) pretreatment steps.
Table 1. Thickness of SiO2 on the surface of Si substrates measured after different stress relieved pre-oxide (SRPO) pretreatment steps.
Process StepAverage Thickness (nm)95% Confidence Interval (nm)
Pre-RCA cleaning2.31(2.211, 2.409)
Post-RCA cleaning0.67(0.596, 0.744)
Post-thermal oxidation4.04(3.764, 4.316)
Post-diluted HF solution dipping0.65(0.537, 0.763)
Table 2. Various parameters for the fabricated MIS capacitor S1 and S2.
Table 2. Various parameters for the fabricated MIS capacitor S1 and S2.
SampleCox (μF/cm2)VFB (V) BackwardΔVFB (V)Not (cm−2)Dit (eV−1 cm−2)
S11.180.0050.1319.65 × 10111.62 × 1012
S21.130.1420.0151.06 × 10114.19 × 1011

Share and Cite

MDPI and ACS Style

Wang, X.; Liu, H.; Zhao, L.; Wang, Y. Enhanced Electrical Properties of Atomic Layer Deposited LaxAlyO Thin Films with Stress Relieved Preoxide Pretreatment. Materials 2018, 11, 1601. https://doi.org/10.3390/ma11091601

AMA Style

Wang X, Liu H, Zhao L, Wang Y. Enhanced Electrical Properties of Atomic Layer Deposited LaxAlyO Thin Films with Stress Relieved Preoxide Pretreatment. Materials. 2018; 11(9):1601. https://doi.org/10.3390/ma11091601

Chicago/Turabian Style

Wang, Xing, Hongxia Liu, Lu Zhao, and Yongte Wang. 2018. "Enhanced Electrical Properties of Atomic Layer Deposited LaxAlyO Thin Films with Stress Relieved Preoxide Pretreatment" Materials 11, no. 9: 1601. https://doi.org/10.3390/ma11091601

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop