Next Article in Journal
Love-Mode MEMS Devices for Sensing Applications in Liquids
Next Article in Special Issue
CMOS-NEMS Copper Switches Monolithically Integrated Using a 65 nm CMOS Technology
Previous Article in Journal
Thermocapillarity in Microfluidics—A Review
Previous Article in Special Issue
Fabrication of a Micromachined Capacitive Switch Using the CMOS-MEMS Technology
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

CMOS MEMS Fabrication Technologies and Devices

Department of Electrical and Computer Engineering, Oakland University, 2200 N. Squirrel Road, Rochester, MI 48309, USA
Micromachines 2016, 7(1), 14; https://doi.org/10.3390/mi7010014
Submission received: 31 August 2015 / Revised: 9 November 2015 / Accepted: 15 January 2016 / Published: 21 January 2016
(This article belongs to the Special Issue CMOS-MEMS Sensors and Devices)

Abstract

:
This paper reviews CMOS (complementary metal-oxide-semiconductor) MEMS (micro-electro-mechanical systems) fabrication technologies and enabled micro devices of various sensors and actuators. The technologies are classified based on the sequence of the fabrication of CMOS circuitry and MEMS elements, while SOI (silicon-on-insulator) CMOS MEMS are introduced separately. Introduction of associated devices follows the description of the respective CMOS MEMS technologies. Due to the vast array of CMOS MEMS devices, this review focuses only on the most typical MEMS sensors and actuators including pressure sensors, inertial sensors, frequency reference devices and actuators utilizing different physics effects and the fabrication processes introduced. Moreover, the incorporation of MEMS and CMOS is limited to monolithic integration, meaning wafer-bonding-based stacking and other integration approaches, despite their advantages, are excluded from the discussion. Both competitive industrial products and state-of-the-art research results on CMOS MEMS are covered.

Graphical Abstract

1. Introduction

Last decade has seen the rapid maturity of the MEMS (micro-electro-mechanical systems) industry. MEMS are now prevalent in our daily life. Probably the most popular gadget in which MEMS have significant applications, known or unknown to the user, is a smart phone. MEMS motion sensors, including accelerometers and gyroscopes with 6 degrees of freedom, along with MEMS microphones, pressure sensors, magnetometers, etc., have greatly contributed to the operation of the smart phone, which can be considered a little do-everything device. In automobiles, in addition to the well-known MEMS devices for vehicle performance and safety, such as pressure sensors for manifold intake vacuum measurement, smart tire pressure monitoring, accelerometers for airbag deployment, accelerometers and gyroscopes for electronic stability programs (ESP), inclinometer for sliding prevention, etc., MEMS have found new applications in environmental monitoring for driving and riding comfort and safety improvements. In other areas, MEMS are serving us in inkjet printers with MEMS print heads and portable electronics with MEMS resonators as frequency references, just to name a few. In the past few years, MEMS market has enjoyed consecutive double-digit growth. The worldwide MEMS market is predicted to top 22 billion U.S. dollars by 2018 [1].
The ultimate goals for MEMS have been and will continue to be continuous miniaturization, expanded functionalities, lower cost, and improved performance and reliability. The purpose of MEMS demands direct integration of mechanical structures with electronics that are normally fabricated by CMOS (complementary metal-oxide-semiconductor) technologies. In the last couple of decades, with breakthroughs in individual technologies and enabling tools, great efforts have been made to integrate MEMS structures with integrated circuits (IC) on a single CMOS substrate, for the so-called monolithic CMOS MEMS integration. Integration of those subsystems into new materials such as silicon-on-insulators (SOI) has been attempted as well. Numerous microfabrication and integration approaches have been attempted [2].
CMOS MEMS are micromachined systems in which MEMS devices are integrated with CMOS circuitry on a single chip to enable miniaturization and performance improvement. CMOS MEMS also refers to microfabrication technologies and processes that are involved in the creation of these integrated devices. One of the best-known commercial monolithic CMOS-MEMS devices is the digital micromirror device (DMD) manufactured by Texas Instruments [3]. In the research community, one of the pioneering efforts for CMOS MEMS transducers was made by H. Baltes and his coworkers at the Swiss Federal Institute of Technology, Zurich (ETH) [4]. They employed both wet bulk silicon micromachining and surface micromachining techniques in the fabrication of integrated CMOS MEMS devices. With advancement of both CMOS and micromachining technologies, CMOS MEMS have also evolved tremendously in recent years [5].
From a historical perspective, this paper summarizes a variety of CMOS MEMS monolithic integration technologies and associated devices that have made use of the respective technologies. Due to the huge diversity of CMOS-MEMS integrated devices and systems, though other systems and associated technologies such as CMOS-bioMEMS devices and integration for fluid handling and analysis are emerging, as reported in references [6,7,8,9,10] and thereafter, only conventional MEMS devices, including a variety of physical sensors, resonators, and actuators, are used as examplesl featuring the respective CMOS MEMS technologies.

2. Classification of CMOS MEMS Technologies

MEMS can be integrated with CMOS electronics monolithically in number of different ways. Despite the availability of various materials used in CMOS MEMS, one common way to categorize CMOS MEMS technologies is from the perspective of manufacturing processes. Based on the sequence of processing the electronic circuitry and MEMS structures, CMOS-MEMS technologies can be classified into three categories: pre-CMOS, intra-CMOS and post-CMOS, in which the formation of MEMS is prior to, intermediate with or after the fabrication of CMOS or BiCMOS circuitries [11]. Due to its greater flexibility of accessibility to manufacturing foundries, post-CMOS approaches are more widely utilized than the others. The following sections depict these CMOS MEMS integration technologies with exemplary devices. Focus has been placed on post-CMOS MEMS integrations.

3. Pre-CMOS MEMS

It is widely accepted that pre-CMOS technologies are represented by the modular integration process originally developed at Sandia National Laboratories (SNL), called the integrated MEMS (iMEMS) process. As suggested by the name, in pre-CMOS technology, MEMS structures are pre-defined and embedded in a recess trench in a silicon wafer and the recess is then filled with oxide or other dielectrics, as illustrated in the cross-section in Figure 1. The wafer is then planarized prior to the following process steps for CMOS electronics [12]. In this “MEMS first” process, although MEMS structures are pre-defined, a wet etch after the completion of the standard CMOS processes is required to release the pre-defined MEMS structures. Due to the involvement of a photolithography process needed for patterning the MEMS in the recess, the thickness of the MEMS structures is constrained by the lithographical limit related to achievable focus depth.
Figure 2 shows a die photo of an integrated 3-axis accelerometer that was developed by Lemkin et al. at the Berkeley Sensors and Actuator Center (BSAC) and fabricated using the iMEMS process at Sandia [13].
Other methods for the formation of MEMS structures in pre-CMOS technologies, including wafer bonding and thinning for epitaxial and SOI wafers in which MEMS are pre-fabricated, have also been reported in the fabrication of a variety of MEMS devices. Since this paper focuses on monolithic integration of CMOS and MEMS, only SOI CMOS MEMS will be introduced in Section 5.3.
Figure 1. Cross-section of CMOS (complementary metal-oxide-semiconductor) and MEMS micro-electro-mechanical systems) in the recess trench in pre-CMOS integration [12].
Figure 1. Cross-section of CMOS (complementary metal-oxide-semiconductor) and MEMS micro-electro-mechanical systems) in the recess trench in pre-CMOS integration [12].
Micromachines 07 00014 g001
Figure 2. Die photo of a 3-axis accelerometer implemented by iMEMS processes [13].
Figure 2. Die photo of a 3-axis accelerometer implemented by iMEMS processes [13].
Micromachines 07 00014 g002

4. Inter-CMOS MEMS

With a dedicated facility, in the early 1990s, Analog Devices, Inc. (ADI), Norwood, MA, USA, specifically developed a MEMS technology based on its BiCMOS process. This technology, trademarked as “iMEMS” and originally dedicated to manufacturing CMOS-MEMS accelerometers and gyroscopes, is an intermediate-CMOS MEMS, or inter-CMOS MEMS, technology in which CMOS process steps are intertwined with additional polysilicon thin film deposition and micromachining steps to form sensor structures [14]. Figure 3 shows a die photo of an integrated gyroscope (ADXRS series) from Analog Devices Inc. [15]. In wafer fabrication, sensor polysilicon thin films deposition and release are interleaved by contact formation and metallization in the surrounding CMOS circuits [16]. ADI has also extended this inter-CMOS fabrication to its SOI CMOS MEMS products such as high-g accelerometers [17]. Infineon, another major automobile sensor provider, also manufactures its integrated capacitive pressure sensors using the inter-CMOS approach. The perforated polysilicon electrode membrane is released by wet etching of SiO2 prior to completion of CMOS circuity [18]. This series of integrated sensors is still in volume production and they are widely used in smart tire pressure monitoring systems (STPM) and manifold absolute pressure measurement in automobiles [19].
Figure 3. Die photo of an integrated gyroscope by Analog Devices [15].
Figure 3. Die photo of an integrated gyroscope by Analog Devices [15].
Micromachines 07 00014 g003

5. Post-CMOS MEMS

It is obvious from the introduction of pre- and inter-CMOS MEMS that there are some critical limitations for these two technologies. In addition to the dimension limits of the polysilicon sensor structures and the thermal processing of the polysilicon that may affect the CMOS portion of the device, the major concern for the integration is the need for dedicated manufacturing foundries and the higher cost associated with it. With the standardization of the CMOS fabrication process and availability of various foundry services in the past decade, post-CMOS MEMS integration with flexible manufacturing accessibility and cost effectiveness has proven to be an attractive option, especially for the research community.
In contrast to the previous two, in post-CMOS MEMS, all MEMS process steps are performed after full completion of the CMOS fabrication. Post-CMOS integration can date back to the late 1970s when the first generation of integrated MEMS devices—silicon pressure sensors—were demonstrated [20]. In fabrication of single crystal silicon diaphragms for pressure sensing using wet etching, the completed circuit elements on the front side of the diaphragm are normally protected by SiO2 or, in many cases, by acid-resistant polymers. The advantages of post-CMOS MEMS over pre- and inter-CMOS MEMS include flexibility in foundry selection, and low cost due to the independent processing of the CMOS and MEMS portions of the integrated devices. The flexibility of foundry access makes it possible to take full advantage of both advanced CMOS circuit technologies and optimal MEMS structures based on the available technologies used by the foundry. This is particularly attractive to the research community in exploration of state-of-the-art for MEMS. However, in implementation of post-CMOS MEMS, some CMOS design rules may need to be changed to accommodate MEMS structure design in the CMOS design stage. Meanwhile, post-CMOS microfabrication should be carefully designed, particularly considering the thermal budget, so as not to affect the on-chip CMOS electronics.
According to how MEMS structures are formed relative to CMOS circuitry, post-CMOS MEMS technologies fall into two categories: additive and subtractive to the CMOS. In additive post-CMOS MEMS, structural materials are deposited on the top of CMOS substrate, whereas in subtractive post-CMOS MEMS, MEMS structures are created by selectively etching CMOS layers including the substrate if necessary. Apparently, additive post-CMOS MEMS methods require more stringent material compatibility with the CMOS technologies used. Thus they are less utilized than subtractive post-CMOS MEMS.

5.1. Additive MEMS Structures on CMOS Substrate

In additive post-CMOS MEMS, metals, dielectrics or polymers are deposited and patterned to form MEMS structures normally on top of the CMOS layers. Some commercial MEMS products are fabricated using additive post-CMOS MEMS approaches. In this category, the best known product is probably the digital mirror device (DMD), the core of the digital light processing (DLP) technology developed by Texas Instruments. In a DMD, tilting mirror plates and their driving electrodes are fabricated directly on top of CMOS circuits. Three sputtered aluminum layers are used to form the top mirror plate and the two parallel-plate electrodes for electrostatic actuation, respectively. The driving electrodes are addressed via a CMOS memory cell. To release the mirror plate and top electrodes in the post-CMOS MEMS fabrication of the mirrors, deep-UV hardened photoresist is used as the sacrificial layer. Figure 4 depicts two DMD pixels in a DLP cinema chip.
Figure 4. Schematic of two digital mirror device (DMD) mirror-pixels in a digital light processing (DLP) cinema chip (a) and scanning electron microscope (SEM) image of an array of DMD micromirrors (b). From www.dlp.com.
Figure 4. Schematic of two digital mirror device (DMD) mirror-pixels in a digital light processing (DLP) cinema chip (a) and scanning electron microscope (SEM) image of an array of DMD micromirrors (b). From www.dlp.com.
Micromachines 07 00014 g004
Other materials have also been used in attempting to create MEMS structures, especially frequency management devices, on top of CMOS layers. A good review of related devices has been conducted by Uranga et al. [21]. Some representative devices are further introduced as follows. A monolithic integrated nickel resonator was demonstrated by UC Berkeley, in which low-temperature electrochemically plated nickel serves as structural material for large resonator arrays and SiO2 as a sacrificial layer in reactive ion etching (RIE) release of the device [22]. Sandia National Laboratory (SNL) has recently demonstrated a process for post-CMOS integration of aluminum nitride (AlN) atop CMOS substrates. The structural material of AlN is deposited at 350 °C, and therefore the integration is compatible with the standard CMOS process [23]. As a demonstration of the integration, an AlN high-frequency filter with integrated transduction elements and a resonant accelerometer have been fabricated. The demonstrated resonators have an operating frequency range of 500 kHz to approximately 1 GHz, and a multiple-frequency tuning scheme can be implemented. In contrast to most capacitive MEMS resonators, the demonstrated resonators are based on the piezoelectric effect of AlN thanks to its large quality factor. The reported resonant accelerometer achieves a noise floor of 565 mg/√Hz for accelerations from 275 to 1100 Hz. Other AlN devices have also been attempted using the above technology, including tunable resonators with various bandwidth [24,25]. In all the devices, structural AlN is sputtered at 350 °C, which is CMOS-compatible. Other metals used in the devices, including metals such as tungsten, Ti/TiN/Al and insulator SiO2, are post-CMOS compatible and can be deposited and etched using standard CMOS tools. The AlN structural release is performed by sequential dry etches of SiO2, AlN and isotropic Si undercut in SF6 or XeF2. Despite its demonstrated feasibility, targeted wafer level integration of AlN to SNL’s 0.35 μm, 3.3 V SOI CMOS process is still under way. Similar to the above approach, in another effort by Uranga et al. at Autonomous University of Barcelona, a nanometer scale bimetallic nitride resonator capable of dual-clock tuning has been fabricated using a commercial Silterra 0.18 μm technology [26]. In this conception demonstration, in addition to the CMOS compatible structural implementation that features a small gap for enhanced coupling, a metal cap for hermetic vacuum packaging of the device is also produced.
Another representative of additive post-CMOS MEMS technology is the polycrystalline SiGe/Ge CMOS-MEMS technology from the Berkeley Sensors and Actuator Center. In this technology, polycrystalline SiGe deposited on CMOS is used as an MEMS material. Germanium or SiO2 thin film are used as sacrificial materials. The deposition and annealing temperatures for SiGe and Ge are below 475 °C, which is safe for the CMOS metallization. Various integrate MEMS devices, including inertial sensors, resonators and data storage devices, have been fabricated using this technology [27,28,29]. This technology has been commercialized by Silicon Clock, which was purchased by Silicon Laboratory in 2010, for manufacturing integrated MEMS oscillators [30].
Although not largely adopted later on, polysilicon was also attempted as an MEMS material for additive post-CMOS integration. However, when polysilicon was used as the structural material on top of CMOS, also introduced by BSAC in the early 1990s, the aluminum interconnection in standard CMOS technology must be replaced with refractory metals such as tungsten, to survive in the high temperature treatment for polysilicon thin film. An integrated accelerometer was demonstrated using this technology [31]. In some other circumstances where CMOS protection is well designed, electroplating can also be used to grow microstructures on top of CMOS electronics. In reference [32], accelerometer arrays with large proof mass and post-CMOS fabricated using electroplated gold are described. Copper passive components have also been demonstrated in post-CMOS fabrication of RF MEMS structures [33].
Some representative additive post-CMOS MEMS processes, along with respective materials used, are summarized in Table 1.
Table 1. Representative additive post-CMOS MEMS technologies and devices.
Table 1. Representative additive post-CMOS MEMS technologies and devices.
Authors and ReferencesInstituteStructural MaterialSacrificial MaterialInterconnect MaterialYear
Hornbeck [34]Texas InstrumentsAlPhotoresistAl1989 (invented in 1987)
Yun et al. [31]UC-BerkeleyPolysiliconSiO2W/TiN1992
Franke et al. [35]UC-BerkeleyPoly-SiGeGe or SiO2Al1999
Huang et al. [22]UC-Berkeley, University of MichiganNickelSiO2TiN2008
Wojciechowski et al. [25]Sandia National LaboratoryAlNSiW/Ti/TiN2009
Uranga et al. [26]Autonomous University of BarcelonaBimetallic NitridePolymerW2015
Sedky et al. [36]IMECPoly-SiGeGeAl1998
Yamane et al. [32]Tokyo Institute of TechnologyGoldPolyimideAl2013
Li et al. [33]Shanghai Institute of MicrosystemsCopperPhotoresistAl2008
Severi et al. [29]IMEC, IntelPoly-SiGeSiO2Doped SiGe2010

5.2. Subtractive Post-CMOS MEMS

In these devices and technologies, back end of line (BEOL) CMOS thin film stacks including interconnected metal layers and vias, polysilicon layers, and insulating SiO2, are made use of in forming MEMS structures. Alternatively, silicon substrate can also be used as part of MEMS structures. These materials are patterned and removed partially or completely by wet or dry etching methods to form the MEMS structures. This section describes the thin-film and bulk CMOS MEMS formed by such subtractive processes.

5.2.1. Subtractive CMOS MEMS by Wet Etching

The first generation of CMOS MEMS sensors was fabricated using a post-CMOS subtractive process in which silicon substrate was completely or partially removed using a wet etching method, leaving behind thin-film or bulk MEMS structures [4]. For thermal sensors in which beams or membranes consist of dielectric layers, the substrate silicon is normally etched away completely to obtain thermally isolated structures. For this case, the silicon dioxide membrane can act as an intrinsic etch stop layer in backside silicon anisotropic wet etching using KOH, ethylene diamine-pyrocatechol (EDP) or tetramethylammonium hydroxide (TMAH). A medical tactile sensor array was also reported in which the aluminum sacrificial layer was etched from the backside of the wafer after the CMOS substrate was etched through [37].
CMOS silicon substrate can also be included in the MEMS structures using a wet etch process. The first method is to perform a time-controlled backside etch with a well-calibrated etching rate. A uniform single crystal silicon membrane with the desired thickness can be created. This method has been widely used in the industry for fabrication of large volume products such as integrated pressure sensors. In cases where the silicon membrane thickness is not critical, even mechanical processing such as grinding can be used to create the backside cavity.
The second method involves the utilization of an automatic etch stop technique to create silicon membranes or MEMS structures. In this case, an anisotropic etch stops at the electrochemically biased PN junction formed between the n-well and p-type substrate in CMOS [38]. Although the electrochemical electrode design and implementation are complicated, this process can be specifically used in the fabrication of highly sensitive pressure/force and thermal sensors. The anisotropic etch stop can also occur at highly doped p- regions in the substrate. This method has been used in fabrication of many suspended structures including neural probes [39]. Note that the p++ doping process may not be available in a standard CMOS process. In the case where only a small portion of the silicon substrate needs to be removed to reduce the circuit-substrate coupling, a wet silicon etch can be performed from the front side. In wet silicon etching, either silicon nitride or additional polymers, or both, can be used to protect the front CMOS and pads.
CMOS inherent thin films, including multiple metal layers, SiO2 insulating layers, and interconnect vias, have been employed as radio frequency (RF) switch and resonator materials. Using wet etching of the thin films, a lot of RF-MEMS components have been produced [40,41]. Figure 5 shows different configurations of capacitively transduced resonators monolithically integrated with their associated amplifier circuits, spanning frequencies from 500 kHz to 14.5 MHz. In formation of the tuning capacitors that are formed by CMOS stacks, SiO2 between the microstructures is removed by wet etchant. The circuitry is protected by passivation layers; and the SiO2 inside the CMOS beams is protected by the interconnect vias during the device release, i.e., wet removal of SiO2. In the integrated absolute pressure sensor in [42], stacked CMOS layers consisting of multiple metal layers, vias and SiO2, are used as the movable electrode; the lower metal layer is used as the fixed electrode. An intermediate CMOS metal layer is used as a sacrificial layer and is removed by H2SO4 + H2O2 wet etching. CMOS MEMS resonators and accelerometers fabricated using a similar process have also been reported [43,44]. Polymers sensitive to analytes can be coated on finished CMOS MEMS structures for chemical and biological sensing. For example, the first CMOS-MEMS electronic nose was demonstrated by forming polymer-coated CMOS thin film cantilevers on a CMOS chip [45]. In this presentation, vapor hydrofluoric acid based release etching is also categorized as a wet release mechanism. Recently, Baolab Microsystem has commercialized its proprietary NEMS process in an effort to provide a low-cost, high-yield approach for CMOS MEMS integration [46]. In this processing technology, a single vapor hydrofluoric acid (vHF) etching step is used to release the MEMS structure that is consisted of BEOL metal/SiO2 stack. The vHF etching step etches away the silicon oxide in between the metal layers of the backend. Depending on the design, the MEMS/NEMS can be built with a combination of stand-alone metal layers and/or stack-ups of metal layers only, and/or metal layers with oxide in between. Following the etching step for MEMS release, an additional Al sputtering and patterning step is available for sealing the cavity, so that any standard package can also be used. An integrated 3D magnetometer has been produced using this integration fabrication module.
Table 2 summarizes some representative devices that were fabricated using wet etching when this technology was dominant in post-CMOS micromachining. Bibliographies of these efforts can be found in the above citations in this section.
Figure 5. Illustrative structure and circuits of the radio frequency (RF) switch in [40] (a), and SEM photograph of the chip (b).
Figure 5. Illustrative structure and circuits of the radio frequency (RF) switch in [40] (a), and SEM photograph of the chip (b).
Micromachines 07 00014 g005
Table 2. Some CMOS MEMS devices enabled by subtractive process wet etching.
Table 2. Some CMOS MEMS devices enabled by subtractive process wet etching.
Authors and ReferencesInstitutionsDeviceStructural MaterialsEtching MethodYear
Wise et al. [47]University of MichiganPressure sensorSilicon diaphragmBackside ethylene diamine-pyrocatechol (EDP) etching1979
Wise et al. [39]University of MichiganNeuron probe arrayNitride/SiO2, poly and Si substrateEDP etching, p++ etching stop1985
Yoon and Wise [48]University of MichiganMass flow sensorCMOS nitride/SiO2, Au/CrBackside, SiO2 etching stop1990
Baltes et al. [4]ETH ZurichThermal capacitorMetal/SiO2, polyFront side etching1996
Haberli et al. [49]ETH ZurichPressure sensorMetal/SiO2, polyFront side etching of aluminum as sacrificial layer1996
Schneider et al. [50]ETH ZurichThermal sensorMetal/SiO2, poly, suspended SiPN junction electrochemical etch stop1997
Akiyama et al. [51]University of Neuchatel, ETH ZurichAtomic force microscope (AFM) probeCMOS Nitride/SiO2, SiN well electrochemical etch stop2000
Schaufelbuhl et al. [52]ETH ZurichInfrared imagerNitride/SiO2, Al, gate polyBackside KOH2001
Verd et al. [41]Autonomous University of BarcelonaIntegrated ResonatorAl layerFront side SiO2 etching2006
Chen et al. [40]National Tsing Hua UniversityIntegrated resonatorAl/SiO2/ViasFront side SiO2 etching2011
Narducci et al. [42]IME, SingaporeAbsolute pressure sensorAl/SiO2/ViasFront side Metal and via etching2013
Li et al. [43]National Tsing Hua UniversityIntegrated ResonatorAl/SiO2/ViasFront side Metal and via etching2015

5.2.2. Subtractive Post-CMOS MEMS by Dry Etching

Plasma enhanced dry etching processes have quickly become prevalent in microfabrication for both MEMS research and industry. Particularly, the deep reactive ion etching (DRIE) technology, or Bosch process, patented by Robert Bosch GmbH, has revolutionized subtractive post-CMOS microfabrication [53]. This section describes thin-film and bulk CMOS MEMS devices fabricated using a dry etching processes.
Most dry etching processes are based on plasma processes, such as reactive-ion etch (RIE) and DRIE. An etching process employing etchants in vapor phase can also be considered a “dry” one. For example, vapor XeF2 provides good isotropic etching of silicon, which has been used for releasing CMOS thin film MEMS structures [54]. The combination of RIE and DRIE, performed from the front or back side, or both sides, has allowed for fabrication of a large spectrum of CMOS-MEMS devices. Depending on the structural materials and etching methods employed, subtractive post-CMOS can be divided into two types: thin-film processes and bulk processes.

Thin-Film Post-CMOS MEMS Dry Processes

In thin-film processes, structural materials are composed of inherent CMOS thin films. A very wide spectrum of MEMS devices developed and commercialized recently falls into this category. In this review, the post-CMOS MEMS process originally developed at Carnegie Mellon University (CMU) is the main focus. Figure 6 depicts a characteristic process flow of CMU’s post-CMOS thin film process [55]. Sequenced processes consisting of an isotropic SiO2 etching, a silicon DRIE and an isotropic Si RIE undercut expose, define and release the MEMS structure, respectively. In these process steps, the top metal layer acts as a mask to form the MEMS structures and to protect the CMOS circuitry, as seen in Figure 6a,b. Anisotropic and isotropic silicon etching complete the process flow, as seen in Figure 6c,d. Various inertial sensors have been fabricated using this thin film technology [56,57,58]. In all these inertial sensors, mechanical springs and proof masses are formed by the multiple-layer CMOS stacks consisting of SiO2 and metals. The sensing capacitance is formed from sidewall capacitance between comb fingers. The multiple CMOS metal layers inside the comb fingers and other mechanical structures allow very flexible electrical wiring, facilitating different sensing schemes including vertical comb-drive sensing. Variations of the sensing structures have been used for other sensors and actuators [59,60,61,62,63,64]. Using its proprietary copper “Damascene” technology in which both polysilicon and polymers are used as sacrificial layers and removed by RIE etching, IBM has produced a number of RF MEMS passive components with stacked CMOS layers in its 0.18 μm copper CMOS technology [65,66]. In industry products, Akustica Inc., now a subsidiary of Bosch GmbH (Gerlingen, Germany), has commercialized digital microphones using a modified version of the process in Figure 6 [67]. MEMSIC (Andover, MA, USA), an inertial sensors provider, has utilized CMOS-MEMS stacks and silicon RIE in manufacturing its series convective MEMS accelerometer. Figure 7 shows a photograph and SEM image of a die of convective accelerometer from MEMSIC [68].
Figure 6. Dry-etching-based post-CMOS fabrication process for MEMS structures made of CMOS thin films [57]. (a) CMOS wafer or die; (b) SiO2 etching; (c) Silicon deep reactive ion etching (DRIE); (d) Silicon reactive ion etching (RIE) with lateral undercut.
Figure 6. Dry-etching-based post-CMOS fabrication process for MEMS structures made of CMOS thin films [57]. (a) CMOS wafer or die; (b) SiO2 etching; (c) Silicon deep reactive ion etching (DRIE); (d) Silicon reactive ion etching (RIE) with lateral undercut.
Micromachines 07 00014 g006
Figure 7. Die photo and SEM image of an integrated convective accelerometer from MEMSIC [68].
Figure 7. Die photo and SEM image of an integrated convective accelerometer from MEMSIC [68].
Micromachines 07 00014 g007
Despite the excellent CMOS compatibility, flexible foundry accessibility of the above thin film post-CMOS dry etching processes, a major issue exists. For some structures where dimension variations are critical, the large vertical curling and lateral buckling of the suspended MEMS structures that are caused by the residual stress in the stacked thin-film CMOS layers pose a challenge for device performance. Although structural curling can be tolerated for some small devices such as RF MEMS and thermal sensors, for devices such as inertial sensors that need relatively large size, the impact of structural curling can be severe, and compensation may be mandatory [69]. Moreover, the need for etching access holes in fabrication limits the size and mass of the devices.

Bulk CMOS-MEMS Dry Process

In order to overcome the structural curling and to increase the mass, flatness and robustness of MEMS structures, single crystal silicon (SCS) may be included underneath the CMOS thin-film stacks. The SCS silicon structures are formed directly from the silicon substrate using DRIE. Figure 8 illustrates the process flow, in which a 4-metal-layer CMOS technology is used as an example [70]. The process starts with the backside silicon DRIE to define the MEMS structure thickness by leaving a 10–100 μm-thick SCS membrane (Figure 8a). Next, the same anisotropic SiO2 etch as in the thin film process is performed on the front side of wafer (chip) to expose the SCS to be removed (Figure 8b). The following step differs from the thin film process in that an anisotropic DRIE, instead of isotropic etch, finalizes the structure release by etching through the remaining SCS diaphragm, as shown in Figure 8c. With the SCS underneath the CMOS interconnect layers included, large and flat MEMS microstructures can be obtained. If necessary, an optional time-controlled isotropic silicon etch can be added. This step will undercut the SCS underneath the designed narrow CMOS stacks to create thin film structures (Figure 8d). This step is particularly useful in fabrication of capacitive inertial sensors. It can be used to form the electrical isolation structures between sensing electrodes and silicon substrate.
The DRIE CMOS-MEMS technology has shown great advantages in the fabrication of relatively large MEMS devices such as micromirrors [71]. Large flat mirror can be obtained by including portion of silicon substrate underneath the aluminum mirror surface, as shown in Figure 9. A CMOS-MEMS gyroscope with a low noise floor permitted by the SCS proof mass has also been produced using this technology [72]. More recently, a couple of z-axis accelerometers with large proof mass have been fabricated using this technology [73,74].
By attaching SCS underneath the CMOS stack comb fingers, the sensing capacitance of capacitive sensors can be considerably increased for a higher signal-to-noise ratio (SNR). Although CMOS thin films are still used in some microstructures for electrical isolation, the length of the thin-film portion is minimal to reduce the temperature effect. Compared to the thin film dry CMOS-MEMS process, a backside silicon DRIE step is added to define the thickness of the silicon to be included. This requires an additional backside lithography step to define the region for MEMS structures. The maximum thickness of the MEMS structures is limited by the aspect ratio that the silicon DRIE can achieve.
Figure 8. DRIE bulk CMOS-MEMS process flow for 4-metal-layer CMOS [70]. (a) Backside silicon DRIE to define MEMS areas; (b) Front SiO2 etching; (c) Front-side silicon DRIE; (d) Front-side RIE with lateral undercut.
Figure 8. DRIE bulk CMOS-MEMS process flow for 4-metal-layer CMOS [70]. (a) Backside silicon DRIE to define MEMS areas; (b) Front SiO2 etching; (c) Front-side silicon DRIE; (d) Front-side RIE with lateral undercut.
Micromachines 07 00014 g008
Figure 9. SEM images and structure illustration of an electro-thermal micromirror by bulk post-CMOS microfabrication [71]. (a) Micrographs of the mirror and microstructures; (b) Illustrative composition of the mirror structures.
Figure 9. SEM images and structure illustration of an electro-thermal micromirror by bulk post-CMOS microfabrication [71]. (a) Micrographs of the mirror and microstructures; (b) Illustrative composition of the mirror structures.
Micromachines 07 00014 g009

An Improved Bulk CMOS MEMS Process

The bulk CMOS MEMS process depicted in Figure 8 is useful in fabrication of many devices where SCS structures are desired to improve both mechanical and electrical performance of the devices. However, for some devices, very fine structures are formed in step (c) in Figure 8, so the damage caused by the step (d) to these fine structures may be severe. This is particularly true for the fabrication of capacitive inertial sensors where narrow-gap sensing comb fingers are needed. For instance, in performing the isotropic silicon undercut to form the narrow CMOS beams for electrical isolation and mechanical connection, the SCS in the comb fingers is also undercut. The sensing gap increases due to the undesired undercut, and consequently, the sensing capacitance reduces sensitivity and signal-to-noise ratio (SNR) of the sensor degrade. If the undercut occurs in mechanical structures such as suspension springs, the dynamic characteristics of the device will also be severely affected. Another issue is related to the thermal effect in the plasma etch for the SCS undercut. Upon completion of the silicon undercut, the greatly reduced thermal conductance from the isolated structure to the substrate can cause a temperature rise on the released structures. Slight over-etch is often necessary to accommodate process variations, but this will generate a large temperature increase in the suspended structures which in turn dramatically increases the SCS etching rate, resulting in uncontrollable and damaging results.
A modified dry bulk CMOS MEMS process has been demonstrated to effectively address the issues caused by the undesired SCS undercut [75]. In the refined process illustrated in Figure 10, the etching of the CMOS isolation/connection beams is performed separately from the etching of the microstructures where SCS is needed. The top metal layer is purposely used to define the isolation/connection beams. After their formation, the top metal layer is removed using a plasma or a wet etch. Then other microstructures are exposed after a SiO2 etch. The direct etch-through of the remaining silicon on the microstructures will complete the release process. To reduce the thermal effect described above, a thick photoresist layer is patterned on the backside of the cavity. In the release step, the applied photoresist provides a thermal path that reduces the temperature rise on the etched-through structures. The removal of the photoresist using O2 plasma etching completes the entire microfabrication process. Owing to the monolithic integration and large proof mass enabled by the inclusion of SCS, bulk CMOS MEMS inertial sensors have demonstrated better performance than their thin-film counterparts [76]. Figure 11 shows a 3-axis accelerometer fabricated using the improved bulk CMOS MEMS process that is pictured in Figure 10.
Recently, to address the etching deterioration caused by the thermal effect first reported in [75], some new approaches, including multiple step etching [77,78], and backside coating of a metal layer to provide thermal dissipation path [79,80]. A CMOS MEMS accelerometer, gyroscope and micromirror with large mirror plate have been fabricated using the further improved methods, respectively.
Figure 10. Modified bulk CMOS MEMS process for separate etching of CMOS beams and single crystal silicon (SCS) microstructures [75]. (a) Backside silicon DRIE; (b) Thermal protection using photoresist (PR); (c) Front-side SiO2 etching; (d) Front-side silicon DRIE for beams; (e) Front-side silicon DRIE for comb drives; (f) Removal of thermal protection PR layer.
Figure 10. Modified bulk CMOS MEMS process for separate etching of CMOS beams and single crystal silicon (SCS) microstructures [75]. (a) Backside silicon DRIE; (b) Thermal protection using photoresist (PR); (c) Front-side SiO2 etching; (d) Front-side silicon DRIE for beams; (e) Front-side silicon DRIE for comb drives; (f) Removal of thermal protection PR layer.
Micromachines 07 00014 g010
Figure 11. Die photo (a) and SEM micrograph of microstructures in the sensor (b) reported in [76].
Figure 11. Die photo (a) and SEM micrograph of microstructures in the sensor (b) reported in [76].
Micromachines 07 00014 g011

5.2.3. Combined Wet/Dry Processes

In addition to the integration methods described above, efforts have been continuously made to integrate CMOS with MEMS using the combination of different microfabrication technologies. By combing silicon anisotropic wet etch with DRIE, some sophisticated surface and bulk MEMS structures such as bridges and cantilever arrays can be created. A multi-sensor system was produced using a combined etch process [81]. In the accelerometers reported in [82], isotropic wet etching is used to remove metal layers in CMOS thin stacks to create parallel plate-like vertical capacitors for gap-closing sensing. A silicon RIE follows to release the MEMS devices and break the coupling between the sensing thin films and the substrate. Compared to conventional in-plane comb-finger sensing, the sensor features improved sensitivity that is attributed to vertical gap-closing sensing enabled by the new fabrication approach. In another effort, combination of SiO2 wet etching and XeF2 isotropic silicon etch is responsible for implementation of symmetric structures leading to accelerometer performance improvement [83]. In the absolute pressure sensor reported in [84], a micro vacuum chamber is created by sealing the etching access holes using a LPCVD parylene thin film upon completion of wet metal etching for sensing diaphragm formation. The parylene film is then patterned and removed by dry etching.
A high Q CMOS MEMS variable capacitor for RF application has been implemented by combination of multiple dry and etching steps [85]. Stacked CMOS layers of a commercial 0.35 μm technology were used as electrode material. A total of 3 dry etching steps and 4 wet etching process were conducted in SiO2, metal and silicon substrate etching, respectively.
In demonstration of the integrated gyroscope in [78], the authors employed TMAH backside anisotropic silicon etching to mitigate the undercut on SCS in the comb drives resulting from the footing effect in front side DRIE. A SiO2 layer by wet oxidation was coated in the trenches formed by front DRIE etching. It serves as protection and etch stop layer during the backside SCS wet etch. The MEMS structure was released after the SiO2 was removed by buffered oxide etch (BOE).
Using combined dry/wet etching approaches, integration of structures with nanometer dimensions with conventional CMOS structures have also been vigorously explored. An excellent recent review on relevant devices by Uranga et al. has summarized the efforts in this exciting area [21]. In [86,87], arrays of single crystal silicon oscillators integrated with closed-loop control scheme have been fabricated. The implementation of such arrays not only improves the sensitivities of oscillator elements thus overall detection efficiency, but also paves the way for new applications of integrated NEMS that require large detection cross section and individual addressing, such as in spectroscopy.

5.3. Silicon-on-Insulators (SOI) CMOS MEMS Technologies and Devices

Enabled by smart-cut and other technologies, silicon-on-insulator (SOI) wafers have been made largely commercially available for CMOS and MEMS community. In addition to its great advantages in manufacturing high performance CMOS circuits thanks to its excellent electrical isolation, SOI has been demonstrated as an excellent option for MEMS fabrication as well. SOI-CMOS MEMS integration has been aggressively explored ever since the material became available [88,89,90,91]. In SOI MEMS, the top single crystal silicon layer with thickness ranging from a few microns to tens of micrometers has been adopted. When used as a structural layer, compared with those in the bulk CMOS MEMS structures, the SOI MEMS has a better dimensional control in DRIE-based structure release. Despite some physical effects involved in the DRIE process of the SOI layer, such as footing effect that slightly undercuts the bottom of the SOI trench at the buried SiO2 layer, the thickness of the SOI MEMS can normally be retained as its well-defined thickness in material preparation [92]. Some special designs have been demonstrated to compensate for the footing effect in SOI MEMS processes [93]. Overall, the process for MEMS portion of SOI CMOS MEMS is advantageous over the widely used double side DRIE processes in which less accurate timing control is responsible for structure thickness determination, as described earlier. Therefore, SOI CMOS MEMS provides a unique approach in integration of high performance CMOS or BiCMOS circuitry with single crystal silicon MEMS structure, featuring good dimensional control. Representative products include Analog Devices’ ADXL001 (Analog Devices Inc., Norwood, MA, USA) integrated accelerometer and ADXRS453 integrated gyroscope (Analog Devices Inc.) which can be operated at a high temperature of 130 °C [94].
In SOI CMOS MEMS, interconnects between MEMS and CMOS portion should be carefully arranged. In Analog Devices’ SOI CMOS MEMS accelerometers [17], polysilicon plugs are employed for interconnection and isolation between movable MEMS structure and CMOS circuitry. In [95], a “micro bridge” on which additional aluminum was patterned serves as connection between the CMOS and MEMS. The demonstrated accelerometers were fabricated using an in-house SOI CMOS process. A unique below IC integration of SOI MEMS has been employed with an NXP/Philips semiconductor (NPX Semiconductors, Eindhoven, The Netherlands) as an approach to fabricate various devices [96]. In contrast to most methods in which top silicon layer is used for MEMS structure, in this approach, bulk substrate is thinned down as thick MEMS structure and is connected to CMOS circuits located in thin top Si layer through polysilicon plug in thin SOI layer.
In addition to the devices described in the above technological literatures, a large variety of other SOI CMOS MEMS devices have been demonstrated. Authors in reference [97] reported a MEMS micro hotplate enabled by tungsten film with SOI CMOS technology. The hotplate can be used as a platform for design of some gas sensors. Imaging sensors and micromirror arrays have also been reported [98,99,100].
With new integration technologies such as silicon vias (TSV) being matured, SOI CMOS MEMS will play a significant role in implementation of system-on-chip (SOC) and other complex microsystems [101,102].
For the research community, in choosing a CMOS-MEMS monolithic integration approach, the following general considerations should be followed: (1) Performance requirements of the designed device and system. For instance, for inertial sensors, bulk CMOS-MEMS and SOI CMOS-MEMS can provide proof mass normally thicker than thin-film counterparts for improved resolutions. However, the performance balance between the CMOS circuitry and MEMS devices should be highly sought after. (2) Availability of foundry services and critical design rule requirements from particular CMOS foundries. In many of the CMOS MEMS devices fabricated using the process derived from the CMU approach, a large array of vias is placed as vertical electrodes when vertical capacitors are needed. Due to the CMOS design rules on the vias, the electrodes are actually non-continuous. (3) Intrinsic effects of CMOS materials on MEMS structures. Since CMOS technologies and materials by nature are not optimized for MEMS devices, physical effects such as residual stress, material texture, discrepancies in thermal expansion coefficients, etc., should be well elaborated in MEMS design and fabrication. More and more CMOS MEMS foundries are starting to provide general guidance and process data for MEMS design, yet consistency of post CMOS-fabrication results still need improvement. (4) Fabrication capability of in-house facility. When post CMOS fabrication is performed in-house, equipment capability should be well calibrated and associated data should be used as part of MEMS design rules. (5) Balance between cost and device performance. Although most research projects are technology- and performance-driven, cost, process scalability should also be considered, especially when there is a possibility of commercialization of the technology and system under development.

6. Summary and Future Trends for System-On-Chip (SOC)

CMOS-MEMS technologies have been placed in pre-CMOS, intra-CMOS and post-CMOS categories. Both pre-CMOS and intra-CMOS have issues such as dedicated foundries with suboptimal and less cost-effective processes. Post-CMOS provides excellent CMOS compatibility, foundry accessibility and design flexibility, and the overall cost is reduced. SOI-CMOS MEMS have also been aggressively explored. The technologies involved in this new integration approach, such as TSV and wafer bonding, have allowed 3D integration and wafer level packaging of CMOS circuitry and MEMS, to form complex microsystems such as system-on-a-chip (SOC), a term borrowed from stacked ICs [103,104]. The new integrations has blurred the boundary between pre- and post-CMOS MEMS integrations.
In MEMS industry, on one hand, individual fabrication processes and integrations have been tremendously improved by enabling technological breakthroughs and equipment. For instance, major MEMS suppliers, including STMicroelectronics and InvenSense, have adopted wafer-to-wafer or chip-to-wafer bonding CMOS MEMS integration. On the other hand, technological trends have been shifted to creation of common platforms for both CMOS and MEMS. A number of CMOS foundries, including Taiwan Semiconductor Manufacturing Company, X-Fab and Global Foundries, etc., have begun to offer CMOS MEMS services for research and product development. According to the international roadmap for the semiconductor industry, one of the current challenges of CMOS-MEMS integration technology is the modification and standardization of CMOS technology to accommodate MEMS technology [105]. It can be anticipated that another wave of innovations in CMOS MEMS integration will quickly push the microelectronic industry to a new era of “more than Moore”.

Acknowledgments

The author would like to thank the Michigan University Commercialization Initiative (MUCI) for partial support of the research work involved in this publication.

Conflicts of Interest

The author declares no conflict of interest.

References

  1. EETimes. MEMS Market to Top $22 Billion by 2018. Available online: http://www.eetimes.com (accessed on 20 January 2016).
  2. Fedder, G.K.; Howe, R.T.; Tsu-Jae King, L.; Quevy, E.P. Technologies for cofabricating MEMS and electronics. Proc. IEEE 2008, 96, 306–322. [Google Scholar] [CrossRef]
  3. Hornbeck, L.J. The DMD projection display chip: A MEMS-based technology. MRS Bull. 2001, 26, 325–327. [Google Scholar] [CrossRef]
  4. Baltes, H.; Paul, O.; Korvink, J.G.; Schneider, M.; Buhler, J.; Schneeberger, N.; Jaeggi, D.; Malcovati, P.; Hornung, M.; Hiberli, A.; et al. IC MEMS microtransducers. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 8–11 December 1996; pp. 521–524.
  5. Brand, O. Microsensor integration into systems-on-chip. Proc. IEEE 2006, 94, 1160–1176. [Google Scholar] [CrossRef]
  6. Zahorian, J.; Hochman, M.; Xu, T.; Satir, S.; Gurun, G.; Karaman, M.; Degertekin, F.L. Monolithic CMUT-on-CMOS integration for intravascular ultrasound applications. IEEE Trans. Ultrason. Ferroelectr. Freq. Control 2011, 58, 2659–2667. [Google Scholar] [CrossRef] [PubMed]
  7. Muller, R.; Hanh-Phuc, L.; Wen, L.; Ledochowitsch, P.; Gambini, S.; Bjorninen, T.; Koralek, A.; Carmena, J.M.; Maharbiz, M.M.; Alon, E.; et al. A minimally invasive 64-channel wireless μECOG implant. IEEE J. Solid State Circuits 2015, 50, 344–359. [Google Scholar] [CrossRef]
  8. Frey, A.; Schienle, M.; Seidel, H. CMOS based sensors for biochemical analysis. In Proceedings of the International Conference on Solid-State Sensors, Actuators and Microsystems (Transducers 2009), Denver, CO, USA, 21–25 June 2009; pp. 1670–1673.
  9. Christen, J.B.; Andreou, A.G. Design, fabrication, and testing of a hybrid CMOS/PDMS microsystem for cell culture and incubation. IEEE Trans. Biomed. Circuits Syst. 2007, 1, 3–18. [Google Scholar] [CrossRef] [PubMed]
  10. Li, Z.; Xu, J.; Lu, W.; Xi, J. Merging SOC and LOC together. In Proceedings of the International Conference on Solid-State and Integrated-Circuit Technology, Beijing, China, 20–23 October 2008; pp. 2553–2556.
  11. Baltes, H.; Brand, O.; Fedder, G.; Hierold, C.; Korvink, J.G.; Tabata, O. CMOS-MEMS: Advanced Micro and Nanosystems, 1st ed.; Wiley-VCH: Hoboken, NJ, USA, 2005. [Google Scholar]
  12. Smith, J.H.; Montague, S.; Sniegowski, J.J.; Murray, J.R.; McWhorter, P.J. Embedded micromechanical devices for the monolithic integration of MEMS with CMOS. In Proceedings of the International Electron Devices Meeting, Washington, DC, USA, 10–13 December 1995; pp. 609–612.
  13. Lemkin, M.; Boser, B.E. A three-axis micromachined accelerometer with a CMOS position-sense interface and digital offset-trim electronics. IEEE J. Solid State Circuits 1999, 34, 456–468. [Google Scholar] [CrossRef]
  14. Kuehnel, W.; Sherman, S. A surface micromachined silicon accelerometer with on-chip detection circuitry. Sens. Actuators A Phys. 1994, 45, 7–16. [Google Scholar] [CrossRef]
  15. Analog Devices, Inc. Available online: http://www.analog.com (accessed on 20 January 2016).
  16. Chau, K.; Sulouff, R.E., Jr. Technology for the high-volume manufacturing of integrated surface-micromachined accelerometer products. Microelectron. J. 1998, 29, 579–586. [Google Scholar] [CrossRef]
  17. Davis, B.S.; Denison, T.; Jinbo, K. A monolithic high-g SOI-MEMS accelerometer for measuring projectile launch and flight accelerations. In Proceedings of the IEEE Sensors, Vienna, Austria, 24–27 October 2004; pp. 296–299.
  18. Hierold, C. Intelligent CMOS sensors. In Proceedings of the 13th International Conference on Micro Electro Mechanical Systems, Miyazaki, Japan, 23–27 January 2000; pp. 1–6.
  19. Infinion. Sensor Solutions for Automotive, Industrial and Consumer Applications. Available online: http://www.infinion.com/sensors (accessed on 20 January 2016).
  20. Borky, J.; Wise, K. Integrated signal conditioning for diaphragm pressure sensors. In Proceedings of the IEEE International Solid-State Circuits Conference, Philadelphia, PA, USA, 14–16 February 1979.
  21. Uranga, A.; Verd, J.; Barniol, N. CMOS-MEMS resonators: From devices to applications. Microelectron. Eng. 2015, 132, 58–73. [Google Scholar] [CrossRef]
  22. Huang, W.; Ren, Z.; Lin, Y.; Chen, H.; Lahann, J.; Nguyen, C.T.C. Fully monolithic CMOS nickel micromechanical resonator oscillator. In Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems, Tucson, AZ, USA, 13–17 January 2008; pp. 10–13.
  23. Olsson, R.H.; Fleming, J.G.; Wojciechowski, K.E.; Baker, M.S.; Tuck, M.R. Post-CMOS compatible aluminum nitride MEMS filters and resonant sensors. In Proceedings of the IEEE International Frequency Control Symposium 2007 Joint with the 21st European Frequency and Time Forum, Geneva, Switzerland, 29 May 2007–1 June 2007; pp. 412–419.
  24. Kim, B.; Nguyen, J.; Wojciechowski, K.E.; Olsson, R.H. Oven-based thermally tunable aluminum nitride microresonators. J. Microelectromech. Syst. 2013, 22, 265–275. [Google Scholar] [CrossRef]
  25. Wojciechowski, K.E.; Olsson, R.H.; Tuck, M.R.; Roherty-Osmun, E.; Hill, T.A. Single-chip precision oscillators based on multi-frequency, high-q aluminum nitride MEMS resonators. In Proceedings of the International Conference on Solid-State Sensors, Actuators and Microsystems, Denver, CO, USA, 21–25 June 2009; pp. 2126–2130.
  26. Uranga, A.; Sobreviela, G.; Barniol, N.; Marigo, E.; Tay-Wee-Song, C.; Shunmugam, M.; Zainuddin, A.A.; Kumar-Kantimahanti, A.; Madhaven, V.; Soundara-Pandian, M. Dual-clock with single and monolithical 0-level vacuum packaged MEMS-on-CMOS resonator. In Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems, Estoril, Portugal, 18–22 January 2015; pp. 1004–1007.
  27. Wen, L.; Guo, B.; Haspeslagh, L.; Severi, S.; Witvrouw, A.; Puers, R. Thin film encapsulated SiGe accelerometer for MEMS above IC integration. In Proceedings of the 16th International Conference on Solid-State Sensors, Actuators and Microsystems (Trandsducers 2011), Beijing, China, 5–9 June 2011; pp. 2046–2049.
  28. Franke, A.E.; Heck, J.M.; Tsu-Jae, K.; Howe, R.T. Polycrystalline silicon-germanium films for integrated microsystems. J. Microelectromech. Syst. 2003, 12, 160–171. [Google Scholar] [CrossRef]
  29. Severi, S.; Heck, J.; Chou, T.K.A.; Belov, N.; Park, J.S.; Harrar, D.; Jain, A.; van Hoof, R.; du Bois, B.; de Coster, J.; et al. CMOS-integrated poly-SiGe cantilevers with read/write system for probe storage device. In Proceedings of the International Conference on Solid-State Sensors, Actuators and Microsystems (Transducers 2009), Denver, CO, USA, 21–25 June 2009; pp. 2409–2412.
  30. Silicon Laboratory, Inc. Available online: http://www.silabs.com (accessed on 20 January 2016).
  31. Yun, W.; Howe, R.T.; Gray, P.R. Surface micromachined, digitally force-balanced accelerometer with integrated CMOS detection circuitry. In Proceedings of the Technical Degist of Solid State Sensor and Actuator Workshop, Hilton Head Island, SC, USA, 22–25 June 1992; pp. 126–131.
  32. Yamane, D.; Konishi, T.; Matsushima, T.; Motohashi, G.; Kagaya, K.; Ito, H.; Ishihara, N.; Toshiyoshi, H.; Machida, K.; Masu, K. An arrayed MEMS accelerometer with a wide range of detection. In Proceedings of the International Conference on Solid-State Sensors, Actuators and Microsystems (Transducers 2013), Barcelona, Spain, 16–20 June 2013; pp. 22–25.
  33. Li, X.; Gu, L.; Wu, Z. High-performance RF passives using post-CMOS MEMS techniques for RF SOC. In Proceedings of the IEEE Radio Frequency Integrated Circuits Symposium, Atlanta, GA, USA, 17 June 2008; pp. 163–166.
  34. Hornbeck, L. Deformable-mirror spatial light modulators and applications. SPIE Crit. Rev. 1989, 1150, 86–102. [Google Scholar]
  35. Franke, A.E.; Bilic, D.; Chang, D.T.; Jones, P.T.; King, T.J.; Howe, R.T.; Johnson, G.C. Post-CMOS integration of germanium microstructures. In Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems, Orlando, FL, USA, 17–21 January 1999; pp. 630–637.
  36. Sedky, S.; Fiorini, P.; Caymax, M.; Loreti, S.; Baert, K.; Hermans, L.; Mertens, R. Structural and mechanical properties of polycrystalline silicon germanium for micromachining applications. J. Microelectromech. Syst. 1998, 7, 365–372. [Google Scholar] [CrossRef]
  37. Salo, T.; Vancura, T.; Brand, O.; Baltes, H. CMOS-based sealed membranes for medical tactile sensor arrays. In Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems, Kyoto, Japan, 23 January 2003; pp. 590–593.
  38. Muller, T.; Brandl, M.; Brand, O.; Baltes, H. An industrial CMOS process family adapted for the fabrication of smart silicon sensors. Sens. Actuators A Phys. 2000, 84, 126–133. [Google Scholar] [CrossRef]
  39. Najafi, K.; Wise, K.D.; Mochizuki, T. A high-yield IC-compatible multichannel recording array. IEEE Trans. Electron Devices 1985, 32, 1206–1211. [Google Scholar] [CrossRef]
  40. Chen, W.C.; Fang, W.L.; Li, S.S. A generalized CMOS-MEMS platform for micromechanical resonators monolithically integrated with circuits. J. Micromech. Microeng. 2011, 21, 065012. [Google Scholar] [CrossRef]
  41. Verd, J.; Uranga, A.; Teva, J.; Lopez, J.L.; Torres, F.; Esteve, J.; Abadal, G.; Perez-Murano, F.; Barniol, N. Integrated CMOS-MEMS with on-chip readout electronics for high-frequency applications. IEEE Electron Device Lett. 2006, 27, 495–497. [Google Scholar] [CrossRef]
  42. Narducci, M.; Yu-Chia, L.; Fang, W.; Tsai, J. CMOS MEMS capacitive absolute pressure sensor. J. Micromech. Microeng. 2013, 23, 055007. [Google Scholar] [CrossRef]
  43. Li, M.H.; Chen, C.Y.; Li, C.S.; Chin, C.H.; Li, S.S. Design and characterization of a dual-mode CMOS-MEMS resonator for TCF manipulation. J. Microelectromech. Syst. 2015, 24, 446–457. [Google Scholar] [CrossRef]
  44. Tsai, M.H.; Liu, Y.C.; Fang, W. A three-axis CMOS-MEMS accelerometer structure with vertically integrated fully differential sensing electrodes. J. Microelectromech. Syst. 2012, 21, 1329–1337. [Google Scholar] [CrossRef]
  45. Baltes, H.; Haberli, A. CMOS MEMS. In Proceedings of the IEEE International Symposium on Circuits and Systems, Hong Kong, China, 9–12 June 1997; pp. 2813–2816.
  46. Montanya i Silvestre, J.; Valle Fraga, J.J.; Barrachina Saralegui, L.; Fernandez Martinez, D. MEMS devices and sensors in standard CMOS processing. In Proceedings of the International Conference on Solid-State Sensors, Actuators and Microsystems, Barcelona, Spain, 16–20 June 2013; pp. 713–717.
  47. Borky, J.M.; Wise, K.D. Integrated signal conditioning for silicon pressure sensors. IEEE Trans. Electron. Devices 1979, 27, 927–930. [Google Scholar] [CrossRef]
  48. Yoon, E.; Wise, K.D. A multi-element monolithic mass flowmeter with on-chip CMOS readout electronics. In Proceedings of the IEEE Solid-State Sensor and Actuator Workshop, Hilton Head Island, SC, USA, 4–7 June 1990; pp. 161–164.
  49. Haberli, A.; Paul, O.; Malcovati, P.; Faccio, M.; Maloberti, F.; Baltes, H. CMOS integration of a thermal pressure sensor system. In Proceedings of the IEEE International Symposium on Circuits and Systems, Atlanta, GA, USA, 12–15 May 1996; pp. 377–380.
  50. Schneider, M.; Muller, T.; Haberli, A.; Hornung, M.; Baltes, H. Integrated micromachined decoupled CMOS chip on chip. In Proceedings of the International Workshop on Micro Electro Mechanical Systems, Nagoya, Japan, 26–30 January 1997; pp. 512–517.
  51. Akiyama, T.; Staufer, U.; Rooij, N.F.D.; Lange, D.; Hagleitner, C.; Brand, O.; Baltes, H.; Tonin, A.; Hidber, H.R. Integrated atomic force microscopy array probe with MOSFET transistor stress sensor, thermal bimorph actuator, and on-chip CMOS electronics. J. Vac. Sci. Technol. B 2000, 18, 2669–2675. [Google Scholar] [CrossRef]
  52. Schaufelbuhl, A.; Schneeberger, N.; Munch, U.; Waelti, M.; Paul, O.; Brand, O.; Baltes, H.; Menolfi, C.; Huang, Q.; Doering, E.; et al. Uncooled low-cost thermal imager based on micromachined CMOS integrated sensor array. J. Microelectromech. Syst. 2001, 10, 503–510. [Google Scholar] [CrossRef]
  53. Laermer, F.; Schilp, A. Method of Anisotropically Etching Silicon. U.S. Patent 5501893, 5 December 1992. [Google Scholar]
  54. Kruglick, E.J.J.; Warneke, B.A.; Pister, K.S.J. CMOS 3-axis accelerometers with integrated amplifier. In Proceedings of the IEEE International Workshop on Micro Electro Mechanical Systems, Heidelberg, Germany, 25–29 January 1998; pp. 631–636.
  55. Fedder, G.K.; Santhanam, S.; Reed, M.L.; Eagle, S.C.; Guillou, D.F.; Lu, M.S.C.; Carley, L.R. Laminated high-aspect-ratio microstructures in a conventional CMOS process. In Proceedings of the IEEE International Workshop on Micro Electro Mechanical Systems, San Diego, CA, USA, 11–15 February 1996; pp. 13–18.
  56. Xie, H.; Fedder, G.K. A CMOS z-axis capacitive accelerometer with comb-finger sensing. In Proceedings of the IEEE International Workshop on Micro Electro Mechanical Systems, Miyazaki, Japan, 23–27 January 2000; pp. 496–501.
  57. Xie, H.; Fedder, G.K. A CMOS-MEMS lateral-axis gyroscope. In Proceedings of the IEEE International Workshop on Micro Electro Mechanical Systems, Interlaken, Switzerland, 21–25 January 2001; pp. 162–165.
  58. Luo, H.; Fedder, G.; Carley, L.R. Integrated multiple-device IMU system with continuous-time sensing circuitry. In Proceedings of the IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, 13 February 2003; pp. 204–205.
  59. Dai, C.L. A capacitive humidity sensor integrated with micro heater and ring oscillator circuit fabricated by CMOS-MEMS technique. Sens. Actuators B Chem. 2007, 122, 375–380. [Google Scholar] [CrossRef]
  60. Lazarus, N.; Bedair, S.S.; Lo, C.C.; Fedder, G.K. CMOS-MEMS capacitive humidity sensor. J. Microelectromech. Syst. 2010, 19, 183–191. [Google Scholar] [CrossRef]
  61. Chang, C.; Tsai, M.; Liu, Y.; Sun, C.; Fang, W. Development of multi-axes CMOS-MEMS resonant magnetic sensor using lorentz and electromagnetic forces. In Proceedings of the International Conference on Micro Electro Mechanical Systems, Taipei, Taiwan, 20–24 January 2013; pp. 193–196.
  62. Wang, C.; Lee, S.Y.; Sun, C.M.; Tsai, M.H.; Fang, W. Implementation of CMOS-MEMS compound lens. In Proceedings of the IEEE/LEOS International Conference on Optical MEMS and Nanophotonics, Hualien, Taiwan, 12–16 August 2007; pp. 143–144.
  63. Tsai, T.H.; Tsai, H.C.; Wu, T.K. A CMOS micromachined capacitive tactile sensor with integrated readout circuits and compensation of process variations. IEEE Trans. Biomed. Circuits Syst. 2014, 8, 608–616. [Google Scholar] [CrossRef] [PubMed]
  64. Munoz-Contreras, F.; Verd, J.; Segura, J.; Uranga, A.; Riverola, M.; Barniol, N. Torwards a fully-integrated CMOS microcalorimeter with on-chip quasi-digital output signal. In Proceedings of the IEEE Sensors Conference, Baltimore, MD, USA, 3–6 November 2013; pp. 1–4.
  65. Jahnes, C.; Cotte, J.; Lund, J.; Deligianni, H.; Chinthakindi, A.; Buchwalter, L.; Fryer, P.; Tornello, J.; Hoivik, N.; Magerlein, J.; et al. Simultaneous fabrication of RF MEMS switches and resonators using copper-based CMOS interconnect manufacturing methods. In Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems, Maastricht, The Netherlands, 25–29 January 2004.
  66. Stamper, A.K.; Jahnes, C.V.; Dupuis, S.R.; Gupta, A.; He, Z.X.; Herrin, R.T.; Luce, S.E.; Maling, J.; Miga, D.R.; Murphy, W.J.; et al. Planar MEMS RF capacitor integration. In Proceedings of the International Conference on Solid-State Sensors, Actuators and Microsystems (Transducers 2011), Beijing, China, 5–9 June 2011; pp. 1803–1806.
  67. AKUSTICA Bosch Group. Available online: http://www.akustica.com (accessed on 20 January 2016).
  68. Jiang, L.; Cai, Y.; Liu, H.; Zhao, Y. A micromachined monolithic 3 axis accelerometer based on convection heat transfer. In Proceedings of the IEEE International Conference on Nano/Micro Engineered and Molecular Systems, Suzhou, China, 7–10 April 2013; pp. 248–251.
  69. Zhang, G.; Xie, H.; de Rosset, L.E.; Fedder, G.K. A lateral capacitive CMOS accelerometer with structural curl compensation. In Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems, Orlando, FL, USA, 19–21 January 1999; pp. 606–611.
  70. Xie, H.; Erdmann, L.; Zhu, X.; Gabriel, K.J.; Fedder, G.K. Post-CMOS processing for high-aspect-ratio integrated silicon microstructures. J. Microelectromech. Syst. 2002, 11, 93–101. [Google Scholar]
  71. Jain, A.; Qu, H.; Todd, S.; Xie, H. A thermal bimorph micromirror with large bi-directional and vertical actuation. Sens. Actuators A Phys. 2005, 122, 9–15. [Google Scholar] [CrossRef]
  72. Xie, H.; Fedder, G.K. Fabrication, characterization, and analysis of a DRIE CMOS-MEMS gyroscope. IEEE Sens. J. 2003, 3, 622–631. [Google Scholar]
  73. Haris, M.; Qu, H. Fully differential CMOS-MEMS z-axis accelerometer with torsional structures and planar comb fingers. J. Micro Nanolithogr. MEMS MOEMS 2010, 9, 013031. [Google Scholar] [CrossRef]
  74. Khir, M.; Qu, P.; Qu, H. A low-cost CMOS-MEMS piezoresistive accelerometer with large proof mass. Sensors 2011, 11, 7892–7907. [Google Scholar] [CrossRef] [PubMed]
  75. Qu, H.; Xie, H. Process development for CMOS-MEMS sensors with robust electrically isolated bulk silicon microstructures. J. Microelectromech. Syst. 2007, 16, 1152–1161. [Google Scholar]
  76. Qu, H.; Fang, D.; Xie, H. A monolithic CMOS-MEMS 3-axis accelerometer with a low-noise, low-power dual-chopper amplifier. IEEE Sens. J. 2008, 8, 1511–1518. [Google Scholar]
  77. Tan, S.S.; Liu, C.Y.; Yeh, L.K.; Chiu, Y.H.; Hsu, K.Y.J. A new process for CMOS MEMS capacitive sensors with high sensitivity and thermal stability. J. Micromech. Microeng. 2011, 21, 035005. [Google Scholar] [CrossRef]
  78. Sung, J.; Kim, J.Y.; Seok, S.; Kwon, H.J.; Kim, M.; Kim, G.; Lim, G. A gyroscope fabrication method for high sensitivity and robustness to fabrication tolerances. J. Micromech. Microeng. 2014, 24, 075013. [Google Scholar] [CrossRef]
  79. Lee, Y.S.; Jang, Y.H.; Kim, Y.K.; Kim, J.M. Thermal de-isolation of silicon microstructures in a plasma etching environment. J. Micromech. Microeng. 2013, 23, 025026. [Google Scholar] [CrossRef]
  80. Jin, J.Y.; Park, J.H.; Yoo, B.W.; Jang, Y.H.; Kim, Y.K. Numerical analysis and demonstration of a 2-DoF large-size micromirror with sloped electrodes. J. Micromech. Microeng. 2011, 21, 095006. [Google Scholar] [CrossRef]
  81. Hagleitner, C.; Lange, D.; Hierlemann, A.; Brand, O.; Baltes, H. CMOS single-chip gas detection system comprising capacitive, calorimetric and mass-sensitive microsensors. IEEE J. Solid State Circuits 2002, 37, 1867–1878. [Google Scholar] [CrossRef]
  82. Sun, C.; Tsai, M.; Wang, C.; Liu, Y.; Fang, W. Implementation of a monolithic TPMS using CMOS-MEMS technique. In Proceedings of the International Conference on Solid-State Sensors, Actuators and Microsystems (Transducers 2009), Denver, CO, USA, 21–25 June 2009; pp. 1730–1733.
  83. Yen, T.H.; Tsai, M.H.; Chang, C.I.; Liu, Y.C.; Li, S.S.; Chen, R.; Chiou, J.C.; Fang, W. Improvement of CMOS-MEMS accelerometer using the symmetric layers stacking design. In Proceedings of the IEEE Sensors Conference, Taipei, Taiwan, 28–31 October 2011; pp. 145–148.
  84. Dai, C.L.; Lu, P.W.; Chang, C.L.; Liu, C.Y. Capacitive micro pressure sensor integrated with a ring oscillator circuit on chip. Sensors 2009, 9, 10158–10170. [Google Scholar] [CrossRef] [PubMed]
  85. Bakri-Kassem, M.; Fouladi, S.; Mansour, R.R. Novel high-Q MEMS curled-plate variable capacitors fabricated in 0.35-μm CMOS technology. IEEE Trans. Microw. Theory Tech. 2008, 56, 530–541. [Google Scholar] [CrossRef]
  86. Arcamone, J.; Philippe, J.; Arndt, G.; Dupre, C.; Savoye, M.; Hentz, S.; Ernst, T.; Colinet, E.; Duraffourg, L.; Ollier, E. Nanosystems monolithically integrated with CMOS: Emerging applications and technologies. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2014; pp. 21–24.
  87. Philippe, J.; Arndt, G.; Colinet, E.; Savoye, M.; Ernst, T.; Ollier, E.; Arcamone, J. Fully monolithic and ultra-compact NEMS-CMOS self-oscillator based-on single-crystal silicon resonators and low-cost CMOS circuitry. In Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems, San Francisco, CA, USA, 26–30 January 2014; pp. 1071–1074.
  88. Takao, H.; Ichikawa, T.; Nakata, T.; Sawada, K.; Ishida, M. A versatile integration technology of SOI-MEMS/CMOS devices using microbridge interconnection structures. J. Microelectromech. Syst. 2010, 19, 919–926. [Google Scholar] [CrossRef]
  89. Villarroya, M.; Figueras, E.; Montserrat, J.; Verd, J.; Teva, J.; Abadal, G.; Murano, F.P.; Esteve, J.; Barniol, N. A platform for monolithic CMOS-MEMS integration on SOI wafers. J. Micromech. Microeng. 2006, 16, 2203–2210. [Google Scholar] [CrossRef]
  90. Chen, T.D.; Kelly, T.W.; Collins, D.; Berthold, B.; Brosnihan, T.J.; Denison, T.; Kuang, J.; O’Kane, M.; Weigold, J.W.; Bain, D. The next generation integrated MEMS and CMOS process on SOI wafers for overdamped accelerometers. In Proceedings of the International Conference on Solid-State Sensors, Actuators and Microsystems, Seoul, Korea, 5–9 June 2005; pp. 1122–1125.
  91. Takahashi, K.; Mita, M.; Nakada, M.; Yamane, D.; Higo, A.; Fujita, H.; Toshiyoshi, H. Development of multi-user multi-chip SOI CMOS-MEMS processes. In Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems, Sorrento, Italy, 25–29 January 2009; pp. 701–704.
  92. Liu, H.; Chollet, F. Layout controlled one-step dry etch and release of MEMS using deep RIE on SOI wafer. J. Microelectromech. Syst. 2006, 15, 541–547. [Google Scholar]
  93. Xu, M.; Yumin, W.; Zhenchuan, Y.; Guizhen, Y. Fabrication of SOI MEMS inertial sensors with dry releasing process. In Proceedings of the IEEE Sensors Conference, Christchurch, New Zealand, 25–28 October 2009; pp. 479–482.
  94. Analog Devices, Inc. ADXRS453 Datasheet High Performance, Digital Output Gyroscope. Available online: http://www.analog.com (accessed on 20 January 2016).
  95. Takao, H.; Ichikawa, T.; Nakata, T.; Sawada, K.; Ishida, M. Post-CMOS integration technology of thick-film SOI MEMS devices using micro bridge interconnections. In Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems, Tucson, AZ, USA, 13–17 January 2008; pp. 359–362.
  96. Rajaraman, V.; Koning, J.J.; Ooms, E.; Pandraud, G.; Makinwa, K.A.A.; Boezen, H. Below-IC post-CMOS integration of thick MEMS on a thin-SOI platform using embedded interconnects. In Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems, Paris, France, 29 January–2 February 2012; pp. 220–223.
  97. Ali, S.Z.; Udrea, F.; Milne, W.I.; Gardner, J.W. Tungsten-based SOI microhotplates for smart gas sensors. J. Microelectromech. Syst. 2008, 17, 1408–1417. [Google Scholar] [CrossRef]
  98. Corcos, D.; Goren, D.; Nemirovsky, Y. CMOS-SOI-MEMS transistor (teramos) for terahertz imaging. In Proceedings of the IEEE International Conference on Microwaves, Communications, Antennas and Electronics Systems, Tel-Aviv, Israel, 9–11 November 2009; pp. 1–5.
  99. Gitelman, L.; Stolyarova, S.; Bar-Lev, S.; Gutman, Z.; Ochana, Y.; Nemirovsky, Y. CMOS-SOI-MEMS transistor for uncooled IR imaging. IEEE Trans. Electron Devices 2009, 56, 1935–1942. [Google Scholar] [CrossRef]
  100. Maruyama, S.; Takahashi, K.; Fujita, H.; Toshiyoshi, H. A MEMS digital mirror array integrated with high-voltage level-shifter. In Proceedings of the International Conference on Solid-State Sensors, Actuators and Microsystems (Transducers 2009), Denver, CO, USA, 21–25 June 2009; pp. 2314–2317.
  101. Lee, K.; Noriki, A.; Kiyoyama, K.; Fukushima, T.; Tanaka, T.; Koyanagi, M. Three-dimensional hybrid integration technology of CMOS, MEMS and photonics circuits for optoelectronic heterogeneous integrated systems. IEEE Trans. Electron Devices 2011, 58, 748–757. [Google Scholar] [CrossRef]
  102. Chan, W.P.; George, A.K.; Narducci, M.S.; Cheam, D.D.; Leong, S.C.; Tsai, M.L.; Rahman, A.R.A.; Park, M.K.; Kong, Z.H.; Rao, J.P.; et al. A pressure/oxygen/temperature sensing SOC for multimodality intracranial neuromonitoring. In Proceedings of the IEEE Asian Solid-State Circuits Conference, Sentosa, Singapore, 11–13 November 2013; pp. 89–92.
  103. Ramm, P.; Klumpp, A.; Weber, J.; Lietaer, N.; Taklo, M.; de Raedt, W.; Fritzsch, T.; Couderc, P. 3D integration technology: Status and application development. In Proceedings of the IEEE European Conference on Solid-State Circuits, Seville, Spain, 14–16 September 2010; pp. 9–16.
  104. Masayoshi, E. Wafer level packaging of MEMS. J. Micromech. Microeng. 2008, 18, 073001. [Google Scholar]
  105. International Technology Roadmap for Semiconductors (ITRS). Micro-Electro-Mechanical Systems (MEMS) Summary. Available online: http://www.itrs2.net/ (accessed on 20 July 2015).

Share and Cite

MDPI and ACS Style

Qu, H. CMOS MEMS Fabrication Technologies and Devices. Micromachines 2016, 7, 14. https://doi.org/10.3390/mi7010014

AMA Style

Qu H. CMOS MEMS Fabrication Technologies and Devices. Micromachines. 2016; 7(1):14. https://doi.org/10.3390/mi7010014

Chicago/Turabian Style

Qu, Hongwei. 2016. "CMOS MEMS Fabrication Technologies and Devices" Micromachines 7, no. 1: 14. https://doi.org/10.3390/mi7010014

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop