Next Article in Journal
A New 3-Dimensional Graphene Vertical Transistor with Channel Length Determination Using Dielectric Thickness
Previous Article in Journal
Autonomous Navigation UAVs for Enhancing Information Freshness for Reconnaissance
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A Compact Model of Carbon Nanotube Field-Effect Transistors for Various Sizes with Bipolar Characteristics

1
Institute of Microelectronics of the Chinese Academy of Sciences, Beijing 100029, China
2
University of Chinese Academy of Sciences, Beijing 101408, China
*
Author to whom correspondence should be addressed.
Electronics 2024, 13(7), 1355; https://doi.org/10.3390/electronics13071355
Submission received: 14 March 2024 / Revised: 28 March 2024 / Accepted: 1 April 2024 / Published: 3 April 2024

Abstract

:
Carbon nanotubes have excellent electrical properties and can be used as a new generation of semiconductor materials. This paper presents a compact model for carbon nanotube field-effect transistors (CNTFETs). The model uses a semi-empirical approach to model the current–voltage properties of CNTFETs with gate lengths exceeding 100 nm. This study introduces an innovative approach by proposing physical parametric reference lengths ( L r e f ), which facilitate the integration of devices of varying sizes into a unified modeling framework. Furthermore, this paper develops models for the bipolar properties of carbon nanotube devices, employing two distinct sets of model parameters for enhanced accuracy. The model offers a comprehensive analysis of the different capacitances occurring between the electrodes within the device. The simulation of the model shows good agreement with the experimental measurements, confirming the model’s validity. The model is implemented in the Verilog-A hardware description language, with the circuit being subsequently constructed and subjected to simulations via the HSPICE tool. The CNTFET-based inverter exhibits a gain of 7.022 and a delay time of 16.23 ps when operated at a voltage of 1.2 V.

1. Introduction

As Moore’s Law progresses, typical planar MOS devices encounter parasitic effects such as the short-channel effect, DIBL effect, and tunneling effect when they reach a size of 22 nm. Consequently, the development of technologies like high-k metal gates, ultrathin bodies, and FinFETs enabled the continuation of Moore’s Law. Simultaneously, there is a search for novel semiconductor materials that possess exceptional features in order to substitute them for silicon. Carbon nanotubes have several advantages, including exceptionally fast carrier mobility, high saturation speed, extended carrier mean free range, an ultrathin body structure, and a flawless lattice structure. Consequently, it is anticipated that it will be referred to as the fundamental substance for the upcoming era of energy-efficient microchips.
In 1991, Sumio Iijima made the initial discovery of carbon nanotubes, a novel type of semiconductor material [1]. During the following decade, researchers at the laboratory developed more advanced and reliable methods for manufacturing carbon nanotubes, which were then utilized in the production of field-effect transistors. Then, the production of integrated circuits utilizing CNTFETs was successfully achieved. The investigation of high-performance CNTFETs also utilized top-gate structures, gate-all-around structures, and other methodologies to enhance gate control and reduce the negative effects caused by parasitic phenomena that occur as the device is scaled down in size [2,3]. Currently, the preparation of CNTFETs with dimensions smaller than 10 nm is ongoing. These CNTFETs have a subthreshold swing of 70 mV / dec . Scandium (Sc) metal with a low figure of merit has been utilized as a material for the source and drain in the fabrication of N-type CNTFETs [4,5]. This choice of material has resulted in good ohmic contact. Additionally, arrays of carbon nanotubes with a density of 200 CNTs / μ m have been employed to effectively enhance the performance of individual devices [6]. Carbon nanotubes exhibit excellent thermal conductivity, particularly in their longitudinal direction, which aids in the efficient dissipation of heat in CNTFETs. CNTFETs are utilized in high-performance circuits, including 3D monolithic integrated systems and Risc-V, because of this characteristic [7,8,9].
The advancement of CNTFETs in devices and circuits has been significant. Accurate and efficient CNTFET device models are crucial, as they serve as a link between real devices and simulation analysis. Deji Akinwande from Stanford employs a tight-binding model to analyze the energy band structure of carbon nanotube devices. The energy band structure is utilized to determine the physical characteristics of chiral carbon nanotubes, including the density of states, effective mass, and density of non-simple carriers [10,11]. Additionally, the traditional drift-diffusion model is employed to simulate CNTFETs. Deng conducted an investigation into the issue of band-tunneling currents in small-sized CNTFET devices and developed the Stanford CNFET model based on this research [12,13]. Natori discovers that the current in the channel closest to the source, namely at the virtual source, has the greatest amount of potential energy [14]. Furthermore, the current in the channel can be described by the carrier current at the virtual source. Natori suggests the utilization of Landauer’s formula, which serves as a framework for future modeling efforts on CNTFET devices. Fedawy introduces a ballistic transport model, which proposes that carriers experience little scattering within the channel of a small-sized CNTFET device [15]. The carriers produced from the source can traverse the channel and reach the drain without any obstruction. Mark Lundstrom conducts research on the interaction of carriers in carbon nanotube devices with acoustic and optical phonons and explains the resulting scattering effects using transmission coefficients [16,17]. Lang Zeng examines the Schottky barrier and constructs a model to analyze the carrier projection at the barrier [18]. However, the models that rely on the physical properties of carbon nanotube material do not accurately correspond to the measured data of real devices, mostly due to the immature fabrication process and variations in CNTFET devices.
In order to tackle the problem of the physically based model not being accurate enough, Khakifirooz presents a virtual source model (VS) based on experimental parameter extraction [19]. This semi-empirical model pulls a portion of the physical and empirical parameters in the model from experimental data on the basis of keeping a part of the physical design parameters of the device so that it can match the experimental measurement data well. The main formula of the VS model is succinct, thus it has the features of a quick modeling cycle and low model complexity and is ideal for large-scale circuit simulation work. Lee uses the VS model to examine and model CNTFETs and offers the VS-CNFET model [20,21]. Different characteristics relating to device fabrication are covered in the VS-CNFET model, which permits the study of CNTFET devices with different architectures. Based on this concept, CNTFETs can be employed in the simulation of various circuit designs.
Due to its reliance on the ballistic transport assumption, the VS model is not effective at accurately modeling CNTFETs with long gates. Hence, this study presents a virtual source expansion model (VSEX) that may be utilized for CNTFETs of different dimensions. The parameter L r e f in VSEX allows the model to switch to a drift-diffusion model when simulating devices of considerable scale. L r e f also accounts for the influence of the gate voltage on the threshold voltage in long channel CNTFETs.
CNTFETs also exhibit bipolar properties, leading to a degradation in the subthreshold coefficient and a decrease in the I o n / I o f f ratio of the device [22]. The bipolar characteristic of the CNTFET results in a significant leakage current, leading to higher power consumption in the CNTFET’s circuit. Hence, this article also includes the modeling of the bipolar properties of CNTFETs at a low gate bias using the VSEX model.
This article compares the VSEX model with experimental data of CNTFETs with gate lengths of 200 nm and 2 μ m. The purpose is to confirm that the VSEX model is more accurate in larger CNTFETs and accurately reflects the bipolar properties of the transfer characteristic curve. Ultimately, a complete version of the CNTFET, which incorporates the capacitance model, is constructed using Verilog-A. This model is then applied in circuit simulations to demonstrate the dynamic and static capabilities of the CNTFET, as well as the feasibility of the model.

2. Virtual Source Extend Model

2.1. Concept of L r e f

Figure 1 reveals that the energy band structure of the carbon nanotube exhibits a peak around the source electrode, referred to as the virtual source. This peak is also observed in the cross-section of the nanotube. The physical properties associated with the current in the VSEX correspond to the electrical parameters at the virtual source.
In large-sized CNTFETs, the carriers in the channel experience significant scattering, resulting in the reduced saturation velocity of the carriers. The impact of device size on the current characteristics of the channel can be divided into two components. First, the length of the channel has a direct impact on the intensity of the scattering effect on the carriers. The VS model describes the electrical properties of a device in the short channel limit by considering it as an ideal ballistic transport device. In the VS model, carriers are emitted from the source and experience no scattering effects from the carbon nanotubes as they traverse the channel. In contrast, the carriers emitted from the source into the channel in the traditional drift-diffusion model experience continuous scattering within the channel. Eventually, due to the combined influence of the electric field and lattice scattering, the velocity of carriers stabilizes at a certain rate. This velocity can be described using the concept of carrier mobility. However, when the size of the device falls under a certain range, the carriers experience modest dispersion effects in the channel, which causes a decrease in their speed. Hence, in a CNTFET with a gate length over 100 nm, its current will display attributes in both the virtual source model and the drift-diffusion model.
Furthermore, when the gate length is progressively extended, the reason for the device reaching current saturation transitions from carrier velocity saturation to channel pinch-off. Carrier velocity saturation, as described in the ideal ballistic transport theory, leads to the saturation of the device current, regardless of the gate voltage. In the context of drift-diffusion theory, the rise in source-drain voltage leads to the contraction of the conducting channel on the drain side. Eventually, the channel pinch-off occurs when the condition ( V g s V t h ) < V d s is fulfilled, causing the current to reach saturation. Therefore, the length of the device also has an impact on how much the gate voltage influences the saturation voltage. In CNTFETs with short channels, the saturation voltage is unaffected by the gate voltage, whereas in devices with long channels, the two are closely associated.
The VESX model suggests that both effects can be characterized simultaneously by using L r e f , a parameter that is associated with the properties of the carbon nanotube material. Since L r e f is influenced by the scattering effect on the carriers, it can be inferred that there is a strong correlation between L r e f and the mean-free-path (MFP). Devices with equal channel lengths but different carrier MFPs exhibit varying scattering effects on carriers. Devices with longer MFPs experience weaker scattering effects, resulting in current characteristics that closely resemble the short-channel approximation. Conversely, devices with shorter MFPs encounter more frequent scattering effects, leading to current characteristics that closely resemble the drift-diffusion model. The L r e f and carrier MFP of CNTFETs are directly influenced by characteristics such as the chirality, diameter, and integrity of the lattice structure of the channel carbon nanotube material.
The energy bands in the channel of the CNTFET change as the electrode bias is altered. This leads to a scattering effect in specific regions of the channel, which can be described in terms of the critical length ( L c r i t ) [23]:
L c r i t = 0 L G exp ( V ( x ) V ( 0 ) k T ) d x ,
where L G represents the gate length of the CNTFET device, V ( 0 ) represents the potential at the virtual source, and V ( x ) represents the potential at different positions along the channel’s length.
γ = L c r i t / L r e f 1 + L c r i t / L r e f β 1 1 / β 1 .
Equation (2) can establish a relationship between L c r i t and L r e f , as well as the size of the device and the material parameters of the device. The value γ , referred to as the ballistic-drift parameter, quantifies the combined influence of ballistic transport and drift-diffusion transport in devices with gate lengths on the order of hundreds of nanometers.

2.2. Current Model

Equation (3) provides a straightforward expression for the channel current in a CNTFET.
I D = v x o · Q x o · F S .
The carrier saturation velocity, known as v x o , refers to the upper limit of velocity that carriers can attain within a carbon nanotube channel. The carrier density at the virtual source, denoted as Q x o , can be determined by the gate oxide capacitance C o x between the gate electrode and the channel in a carbon nanotube field-effect transistor (CNTFET):
Q x o = C o x · ( 1 + γ ) · n s s · ϕ t · ln ( 1 + exp V g s i [ V t α · ϕ t · F f ] ( 1 + γ ) · n s s · ϕ t ) ,
where n s s is the subthreshold coefficient of the CNTFET and V t is the threshold voltage of the device, both of which can be extracted from the subthreshold region of the transfer characteristic curve. ϕ t = k B / T is the electron thermal voltage, and α is an empirical parameter used to fine-tune the model.
The transition function from a high gate voltage to low gate voltage is denoted by F f :
F f = 1 1 + exp ( V g s i [ V t α · ϕ t / 2 ] α · ϕ t ) .
V g s i and V d s i represent the voltages of the internal electrodes of the CNTFET:
V d s i = V d s 2 I D R S / D V g s i = V g s I D R S / D .
The empirical function F S in (3) characterizes the current non-saturation attributes of the VSEX model at low source-drain voltages.
F S = V d s i / V D S A T 1 + V d s i / V D S A T η β 1 / β ,
η = ( V g s , e f f V D S A T ) γ ,
V g s , e f f = 1 2 · ( 1 + γ ) · n s s · ϕ t · ln ( 1 + exp V g s i [ V t α · ϕ t · F f ] ( 1 + γ ) · n s s · ϕ t ) .
β is another empirical parameter in the VSEX model used to adjust the shape of the saturation function. V D S A T is the voltage at which carriers reach velocity saturation under ideal ballistic transport assumptions:
V D S A T = V D S A T s ( 1 F f ) + ϕ t F f ,
V D S A T s = v x o L G μ .
With the above equations, the VSEX model incorporates CNTFETs of various sizes into a unified framework, and the VSEX model can accurately model the device from the subthreshold region to the turn-on region.

2.3. Bipolar Characteristic Modeling

The bipolar properties of CNTFETs are evident in the low gate voltage region of the transfer characteristic curve. In this region, the current decrease of CNTFETs in the subthreshold region decelerates and eventually begins to gradually increase. The carbon nanotube material, which is of the semiconductor type, possesses a symmetrical energy band structure. By employing metals with varying work functions as electrodes, it is possible to create CNTFETs with distinct features by manipulating the energy band structure. When applying a sufficiently high positive voltage to the gate of P-type CNTFETs, the energy bands bend downward, causing the P-type CNTFETs to exhibit the properties of N-type CNTFETs.
Hence, when representing this bipolar attribute, the current can be observed in the low gate voltage region as being influenced by the combination of both the P-type CNTFET and the N-type CNTFET. Therefore, the overall channel current can be represented as
I D = I D S , P + I D S , N .
Two sets of VSEX model parameters can be used for I D S , P and I D S , N , respectively. These two sets of model parameters can be extracted from regions that satisfy the P-type CNTFET and N-type CNTFET on-state conditions, respectively. The parameters associated with the size of the device, such as L G and L r e f , remain unchanged, while the parameters associated with the material of the device, such as μ , v x o , and n s s , are extracted individually. This not only decreases the quantity of the parameter extraction but also guarantees the consistency of the model parameters.
In the on-state region of a P-type CNTFET, the I D S , P section operates in the conventional on-state region, while the I D S , N component operates in the deep subthreshold region of an N-type CNTFET. This N-type region supplies very little current to the CNTFET, resulting in the typical operating characteristics of a P-type CNTFET being exhibited by the I D . This modeling technique is both intuitive and rational, and it retains the advantage of the simplicity of the VSEX model.

2.4. Capacitance Model

Furthermore, the SPICE model must incorporate the device’s capacitance in addition to the current model. The structure of the CNTFET is illustrated in Figure 2. It is important to mention that there is an additional layer called the stop layer which is constructed of high-k material and is located below the gate oxide layer. This layer is used to improve the control of the etching process.
Figure 3 illustrates the capacitance of the gate electrode, which may be categorized into three components: C o x between gate and channel, C o f between gate and the expansion region, and C g t g between gate and the adjacent source or drain electrodes [24].
As shown in Figure 3a, the capacitance of a single carbon nanotube in the gate and channel can be expressed after taking into account the effect of the mirror charge generated by the substrate:
C g c _ inf = 2 π k 1 ε 0 cosh 1 ( 2 h d ) + λ 1 · ln ( 2 h + 2 d 3 d ) ,
h = t o x + t s l + r , λ 1 = k 1 k 2 k 1 + k 2 ,
where t o x and t s l are the thickness of the gate oxide layer and stop layer, respectively. r and d are the radius and diameter of the carbon nanotube, respectively. k 1 represents the relative permittivity of high-k materials, specifically gate oxide and stop layer. On the other hand, k 2 represents the relative permittivity of the substrate.
The analysis additionally considers the shielding effect between the aligned rows of carbon nanotubes, incorporating an equivalent capacitance value:
C g c _ s r = 4 π k 1 ε 0 ln ( s 2 + 2 ( h r ) · [ h + h 2 r 2 ] s 2 + 2 ( h r ) · [ h h 2 r 2 ] ) + λ 1 · ln ( ( h + d ) 2 + s 2 9 r 2 + s 2 ) · tanh ( h + r s d ) ,
where s is the spacing between carbon nanotubes. Depending on whether the carbon nanotubes are located at the edge or in the middle of the array, their capacitance is
C g c _ e = C g c _ inf · C g c _ s r C g c _ inf + C g c _ s r ,
C g c _ m = 2 C g c _ e C g c _ inf .
In a CNTFET with carbon nanotube number N, the C o x of the entire carbon nanotube array is
C g c _ t o t a l = 2 C g c _ e + ( N 2 ) C g c _ m .
As shown in Figure 3b, the capacitance C o f _ t o t a l between the gate and the expansion region can be regarded as the C o f of gate oxide to the upper surface of stop layer in series with the C s l of the stop layer:
C o f _ t o t a l = C o f C s l / ( C o f + C s l ) .
The capacitance between the gate and a single carbon nanotube in the expansion region is
C o f _ inf = π k 2 ε 0 L s d cosh 1 ( 2 H e f f d ) .
H e f f = t o x 2 + ( 0.28 L s p ) 2
H e f f is the equivalent distance between the sidewall of the gate and carbon nanotube. The equivalent capacitance resulting from the shielding effect, taking into account the interaction between adjacent carbon tubes, is calculated in a manner similar to gate oxide capacitance:
C o f _ s r = π k 2 ε 0 L s d ln ( ( 2 H e f f ) 2 + s 2 s ) ,
C o f _ e = ( 1 η 1 C o f _ inf ) · C o f _ s r ( 1 η 1 C o f _ inf ) + C o f _ s r ,
C o f _ m = 2 α η 1 · C o f _ e + ( 1 2 α η 1 ) · C o f _ inf ,
where α and η 1 are empirical parameters:
η 1 = exp ( N 2 2 N + N 2 2.5 N ) , α = exp ( N 3 2 N ) .
The capacitance of the carbon nanotubes at the edge or in the middle can be calculated using the same method as (18):
C o f = 2 C o f _ e + ( N 2 ) C o f _ m .
C s l can be thought of as the capacitance of a parallel capacitor plate:
C s l = k 1 ε 0 L s p W t s l .
In Figure 3c, C g t g of the gate electrode and adjacent electrodes is partitioned into two components, with the two adjacent surfaces being treated as parallel capacitors:
C g t g _ n r = k 2 ε 0 H g a t e L s d .
The remaining three non-adjacent surfaces can be represented as two cylindrical capacitors with the following radius:
R e f f = 2 L G + τ b k H g a t e 2 π τ b k = exp ( 2 2 1 + 2 ( H g a t e + L G ) L s p ) .
Assuming that the heights of the source/drain electrodes and the gate electrode are equivalent,
C g t g = k 2 ε 0 H g a t e L s p + 0.7 π k 2 ε 0 ln ( L s p + L G R e f f ) .
As shown in Figure 4, a CNTFET can be represented as a circuit consisting of a current source, resistors, and capacitors.
C i n = 1 2 L G C g c _ t o t a l ,
C o u t = C o f _ t o t a l + W · C g t g .
C o u t and C i n are the capacitances from the gate to the outer and inner electrodes, respectively.

3. Results

This section compares the present model with experimental data in order to validate the benefits of the VSEX model for large-sized CNTFETs. Furthermore, it has been demonstrated that using bipolar characteristic modeling results in enhanced model accuracy. Ultimately, circuit simulations are conducted using CNTFETs with L G = 150 nm to showcase the practicality of the model in circuit simulation.

3.1. Validation of VSEX Model

Peking University researchers wrap CNTs with conjugated polymers and obtain high-purity semiconductor-type carbon nanotubes via dispersion and gradient density centrifugation methods. Then, they deposit CNTs onto silicon wafers and obtain an aligned CNT via the method of withdrawing silicon wafers [6]. The SEM image of the device, which has a gate length of 200 nm, is depicted in Figure 5. This research extracts the VSEX model parameters from experimental data and simulates the current output characteristics of the CNTFET using the MATLAB tool. Figure 6a demonstrates that the saturation current in the p-type CNTFET with L G = 200 nm exhibits a linear correlation with the gate voltage [6,25]. This behavior is indicative of ballistic transport devices. Both the VSEX model and the VS model exhibit a strong correlation with the experimental results, confirming that the VSEX model can accurately represent the behavior of the VS model in short-channel CNTFETs.
The saturation current of the CNTFET with L G = 2000 nm, as shown in Figure 6b, follows a power relationship, indicating that it is not a device that displays ballistic transport. The VSEX model accurately represents this characteristic in comparison with the VS model. To provide a clearer understanding of the enhanced impact of the VSEX model, this research additionally employs (33) to analyze the error computation of CNTFET data with varying dimensions. Based on the data in Table 1, it is evident that the accuracy of both models is similar for small-size devices. However, the VSEX model demonstrates an advantage when the size of the CNTFET increases. The VSEX model possesses the capability to accurately represent a broader spectrum of CNTFET sizes.
RMS = i = 1 n j = 1 m ( I t e s t ( V g s ( i ) , V d s ( j ) ) I s i m ( V g s ( i ) , V d s ( j ) ) I t e s t , max ( i ) ) 2 n × m
This research also includes simulations of the present transfer characteristics. Based on the information shown in Figure 7, it is evident that the VSEX model effectively represents the turn-on region of the CNTFET. Bipolar characteristics are observed in CNTFETs [26]. The P-type characteristic leads to a drop in I d s , P as V d s increases, whereas the N-type characteristic results in an increase in I d s , N as V d s increases. When subjected to a negative V d s , the CNTFET exhibits the dominance of the P-type characteristic, resulting in a decrease in the current. Conversely, when V d s approaches zero, the two characteristics become comparable, leading to a slower rate of current decrease. Conversely, when V d s is positive, the N-type characteristic becomes dominant, causing a gradual increase in the current. Therefore, the transfer characteristic curve of the CNTFET with bipolar characteristics exhibits a V-shaped trend. The utilization of bipolar description theory can enhance the precision of the VSEX model in the deep subthreshold region of the CNTFET.

3.2. Circuit Implementation

In the design of CNTFET-based circuits, it is assumed that both P-type and N-type CNTFETs have symmetrical properties. This simplifies the process of extracting parameters and accurately represents the performance of CNTFETs.
Figure 8a illustrates the construction of an inverter utilizing a symmetric CNTFET with a gate length of 150 nm. The parameters in the circuit simulation are decided by the results of parameter extraction and the construction of the device, as indicated in Table 2. The simulation allows for the obtaining of the inverter output waveform and gain by adjusting the operating voltage. As shown in Figure 8b, the gain can reach a maximum value of 7.022 when V d d = 1.2 V.
As shown in Figure 9, a five-stage ring oscillator is constructed using a device with a gate length of 150 nm. According to Figure 9b, the frequency of the ring oscillator is 6.16 GHz when V d d = 1.2 V. The simulation results closely align with the experimental test results in reference [6], suggesting that the model accurately represents the dynamic characteristics of the CNTFET.

4. Conclusions

This work proposes a compact model based on the virtual source concept to appropriately characterize CNTFETs. The model primarily examines the impact of scattering on the current behavior in large-scale CNTFETs and visually illustrates this effect using Lref. This research additionally examines the impact of bipolar effects on the current features and represents the bipolar characteristics using an intuitive approach derived from the VSEX model. Ultimately, the paper presents a comprehensive model that can be utilized for circuit design through the examination of the parasitic capacitance within the device. This paper utilizes the test results of the CNTFET to extract the VSEX model parameters and conduct an error analysis. The circuit is constructed to verify the feasibility and validity of the model in integrated circuit simulation.

Author Contributions

Conceptualization, W.H.; methodology, W.H.; software, W.H.; validation, W.H.; formal analysis, W.H.; investigation, W.H.; resources, L.C.; data curation, W.H.; writing—original draft preparation, W.H.; writing—review and editing, W.H. and L.C.; visualization, W.H.; supervision, L.C.; project administration, L.C.; funding acquisition, L.C. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the National Key R & D Program of China under Grant 2022YFB4400400.

Data Availability Statement

All the data are reported/cited in the paper.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Iijima, S. Helical microtubules of graphitic carbon. Nature 1991, 354, 56–58. [Google Scholar] [CrossRef]
  2. Wind, S.J.; Appenzeller, J.; Avouris, P. Lateral Scaling in Carbon-Nanotube Field-Effect Transistors. Phys. Rev. Lett. 2003, 91, 58301. [Google Scholar] [CrossRef] [PubMed]
  3. Chen, Z.; Farmer, D.; Xu, S.; Gordon, R.; Avouris, P.; Appenzeller, J. Externally Assembled Gate-All-Around Carbon Nanotube Field-Effect Transistor. IEEE Electron. Device Lett. 2008, 29, 183–185. [Google Scholar] [CrossRef]
  4. Zhang, Z.; Liang, X.; Wang, S.; Yao, K.; Hu, Y.; Zhu, Y.; Chen, Q.; Zhou, W.; Li, Y.; Yao, Y.; et al. Doping-Free Fabrication of Carbon Nanotube Based Ballistic CMOS Devices and Circuits. Nano Lett. 2007, 7, 3603–3607. [Google Scholar] [CrossRef]
  5. Qiu, C.; Zhang, Z.; Xiao, M.; Yang, Y.; Zhong, D.; Peng, L.M. Scaling carbon nanotube complementary transistors to 5-nm gate lengths. Science 2017, 355, 271–276. [Google Scholar] [CrossRef] [PubMed]
  6. Lin, Y.; Liang, S.; Xu, L.; Liu, L.; Hu, Q.; Fan, C.; Liu, Y.; Han, J.; Zhang, Z.; Peng, L.M. Enhancement-Mode Field-Effect Transistors and High-Speed Integrated Circuits Based on Aligned Carbon Nanotube Films. Adv. Funct. Mater. 2022, 32, 2104539. [Google Scholar] [CrossRef]
  7. Shulaker, M.M.; Wu, T.F.; Pal, A.; Zhao, L.; Nishi, Y.; Saraswat, K.; Wong, H.S.P.; Mitra, S. Monolithic 3D integration of logic and memory: Carbon nanotube FETs, resistive RAM, and silicon FETs. In Proceedings of the 2014 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2014. [Google Scholar] [CrossRef]
  8. Shulaker, M.M.; Hills, G.; Park, R.S.; Howe, R.T.; Saraswat, K.; Wong, H.S.P.; Mitra, S.; Shulaker, M.M.; Hills, G.; Park, R.S.; et al. Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature 2017, 547, 74–78. [Google Scholar] [CrossRef] [PubMed]
  9. Bishop, M.D.; Hills, G.; Srimani, T.; Lau, C.; Murphy, D.; Fuller, S.; Humes, J.; Ratkovich, A.; Nelson, M.; Shulaker, M.M.; et al. Fabrication of carbon nanotube field-effect transistors in commercial silicon manufacturing facilities. Nat. Electron. 2020, 3, 492–501. [Google Scholar] [CrossRef]
  10. Akinwande, D.; Nishi, Y.; Wong, H.S.P. An Analytical Derivation of the Density of States, Effective Mass, and Carrier Density for Achiral Carbon Nanotubes. IEEE Trans. Electron. Devices 2007, 55, 289–297. [Google Scholar] [CrossRef]
  11. Akinwande, D.; Liang, J.; Wong, H.S.P. Analytical Degenerate Carrier Density and Quantum Capacitance for Semiconducting Carbon Nanotubes. In Proceedings of the 2008 Device Research Conference, Santa Barbara, CA, USA, 23–25 June 2008. [Google Scholar] [CrossRef]
  12. Deng, J.; Wong, H.S.P. A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including Nonidealities and Its Application—Part I: Model of the Intrinsic Channel Region. IEEE Trans. Electron. Devices 2007, 54, 3186–3194. [Google Scholar] [CrossRef]
  13. Deng, J.; Wong, H.S.P. A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including Nonidealities and Its Application—Part II: Full Device Model and Circuit Performance Benchmarking. IEEE Trans. Electron. Devices 2007, 54, 3195–3205. [Google Scholar] [CrossRef]
  14. Natori, K.; Kimura, Y.; Shimizu, T. Characteristics of a carbon nanotube field-effect transistor analyzed as a ballistic nanowire field-effect transistor. J. Appl. Phys. 2005, 97, 34306. [Google Scholar] [CrossRef]
  15. Fedawy, M.; Fikry, W.; Alhenawy, A.; Hassan, H. I-V characteristics model for ballistic Single Wall Carbon Nanotube Field Effect Transistors (SW-CNTFET). In Proceedings of the 2012 IEEE International Conference on Electronics Design, Systems and Applications (ICEDSA), Kuala Lumpur, Malaysia, 5–6 November 2012. [Google Scholar] [CrossRef]
  16. Rahman, A.; Guo, J.; Datta, S.; Lundstrom, M. Theory of ballistic nanotransistors. IEEE Trans. Electron Devices 2003, 50, 1853–1864. [Google Scholar] [CrossRef]
  17. Lundstrom, M. Elementary scattering theory of the Si MOSFET. IEEE Electron. Device Lett. 1997, 18, 361–363. [Google Scholar] [CrossRef]
  18. Zeng, L.; Liu, X.Y.; Du, G.; Kang, J.F.; Han, R.Q. On the effect of scattering in Schottky Barrier MOSFETs. In Proceedings of the IEEE International Conference on Electron Devices and Solid-State Circuits, Hong Kong, China, 8–10 December 2008; pp. 1–4. [Google Scholar] [CrossRef]
  19. Khakifirooz, A.; Nayfeh, O.M.; Antoniadis, D. A Simple Semiempirical Short-Channel MOSFET Current–Voltage Model Continuous Across All Regions of Operation and Employing Only Physical Parameters. IEEE Trans. Electron Devices 2009, 56, 1674–1680. [Google Scholar] [CrossRef]
  20. Lee, C.S.; Pop, E.; Franklin, A.D.; Haensch, W.; Wong, H.S.P. A Compact Virtual-Source Model for Carbon Nanotube FETs in the Sub-10-nm Regime—Part I: Intrinsic Elements. IEEE Trans. Electron Devices 2015, 62, 3061–3069. [Google Scholar] [CrossRef]
  21. Lee, C.S.; Pop, E.; Franklin, A.D.; Haensch, W.; Wong, H.S.P. A Compact Virtual-Source Model for Carbon Nanotube FETs in the Sub-10-nm Regime—Part II: Extrinsic Elements, Performance Assessment, and Design Optimization. IEEE Trans. Electron Devices 2015, 62, 3070–3078. [Google Scholar] [CrossRef]
  22. Xia, T.; Register, L.; Banerjee, S. Transmission through the band-gap states in Schottky-barrier carbon nanotube transistors. IEEE Trans. Nanotechnol. 2006, 5, 80–83. [Google Scholar] [CrossRef]
  23. Rakheja, S.; Lundstrom, M.; Antoniadis, D. A physics-based compact model for FETs from diffusive to ballistic carrier transport regimes. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2014. [Google Scholar] [CrossRef]
  24. Deng, J.; Wong, H.S.P. Modeling and Analysis of Planar-Gate Electrostatic Capacitance of 1-D FET With Multiple Cylindrical Conducting Channels. IEEE Trans. Electron Devices 2007, 54, 2377–2385. [Google Scholar] [CrossRef]
  25. Lin, Y.; Cao, Y.; Ding, S.; Zhang, P.; Xu, L.; Liu, C.; Hu, Q.; Jin, C.; Peng, L.M.; Zhang, Z.; et al. Scaling aligned carbon nanotube transistors to a sub-10 nm node. Nat. Electron. 2023, 6, 506–515. [Google Scholar] [CrossRef]
  26. Zeng, Q.; Wang, S.; Yang, L.; Wang, Z.; Pei, T.; Zhang, Z.; Peng, L.M.; Zhou, W.; Liu, J.; Zhou, W.; et al. Carbon nanotube arrays based high-performance infrared photodetector [Invited]. Opt. Mater. Express 2012, 2, 839. [Google Scholar] [CrossRef]
Figure 1. Schematic diagram of the energy band structure of a CNTFET.
Figure 1. Schematic diagram of the energy band structure of a CNTFET.
Electronics 13 01355 g001
Figure 2. Capacitance in CNTFETs.
Figure 2. Capacitance in CNTFETs.
Electronics 13 01355 g002
Figure 3. Capacitance structure schematic. (a) Oxide capacitance. (b) Expansion region capacitance. (c) Capacitance between gate and adjacent electrodes.
Figure 3. Capacitance structure schematic. (a) Oxide capacitance. (b) Expansion region capacitance. (c) Capacitance between gate and adjacent electrodes.
Electronics 13 01355 g003
Figure 4. Equivalent circuit of the VSEX model.
Figure 4. Equivalent circuit of the VSEX model.
Electronics 13 01355 g004
Figure 5. SEM image of the top-view structure of a CNTFET with L G = 200 nm [6].
Figure 5. SEM image of the top-view structure of a CNTFET with L G = 200 nm [6].
Electronics 13 01355 g005
Figure 6. P-type CNTFET output characteristic. (a) L G = 200 nm; (b) L G = 2 μ m.
Figure 6. P-type CNTFET output characteristic. (a) L G = 200 nm; (b) L G = 2 μ m.
Electronics 13 01355 g006
Figure 7. P-type CNTFET transfer characteristic. (a) L G = 200 nm; (b) L G = 2 μ m.
Figure 7. P-type CNTFET transfer characteristic. (a) L G = 200 nm; (b) L G = 2 μ m.
Electronics 13 01355 g007
Figure 8. Inverter based on CNTFETs with L G = 150 nm. (a) The structure of inverter; (b) inverter output curves; (c) gain of inverter; (d) gain versus V d d .
Figure 8. Inverter based on CNTFETs with L G = 150 nm. (a) The structure of inverter; (b) inverter output curves; (c) gain of inverter; (d) gain versus V d d .
Electronics 13 01355 g008aElectronics 13 01355 g008b
Figure 9. 5-stage ring oscillator based on CNTFETs with L G = 150 nm. (a) Output curves; (b) ro5 delay versus V d d .
Figure 9. 5-stage ring oscillator based on CNTFETs with L G = 150 nm. (a) Output curves; (b) ro5 delay versus V d d .
Electronics 13 01355 g009
Table 1. The root mean squared error of model.
Table 1. The root mean squared error of model.
L G  (nm)70150200930200
VSEX 6.94 % 4.32 % 3.26 % 3.04 % 5.65 %
VS-CNFET 6.87 % 3.68 % 4.55 % 8.78 % 13.41 %
Table 2. Parameters used in the circuit simulation of CNTFETs with L G = 150 nm.
Table 2. Parameters used in the circuit simulation of CNTFETs with L G = 150 nm.
L G (nm) W (nm) L ref (nm) L sp (nm) t ox (nm) t sl (nm)
1501000250604.83
s (nm) d (nm) H gate (nm) k 1 k 2  
51.515133.9 
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Huang, W.; Chen, L. A Compact Model of Carbon Nanotube Field-Effect Transistors for Various Sizes with Bipolar Characteristics. Electronics 2024, 13, 1355. https://doi.org/10.3390/electronics13071355

AMA Style

Huang W, Chen L. A Compact Model of Carbon Nanotube Field-Effect Transistors for Various Sizes with Bipolar Characteristics. Electronics. 2024; 13(7):1355. https://doi.org/10.3390/electronics13071355

Chicago/Turabian Style

Huang, Wentao, and Lan Chen. 2024. "A Compact Model of Carbon Nanotube Field-Effect Transistors for Various Sizes with Bipolar Characteristics" Electronics 13, no. 7: 1355. https://doi.org/10.3390/electronics13071355

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop