Advancements in Lithography Techniques and Emerging Molecular Strategies for Nanostructure Fabrication
Abstract
:1. Introduction
2. Extreme Ultraviolet Lithography
2.1. EUV Lithography Resolution
2.2. EUV Lithography Resists
2.3. Advantages and Limitations
3. Electron Beam Lithography
3.1. Electron Beam Lithography Resolution
3.2. Electron Beam Lithography Resists
3.3. Advantages and Limitations
4. X-Ray Lithography
4.1. X-Ray Lithography Resolution
4.2. X-Ray Lithography Resists
4.3. Advantages and Limitations
5. Ion Beam Lithography
5.1. Focused Ion Beam (FIB)
5.2. Proton Beam Writing
5.3. Ion Projection Lithography
5.4. Ion Beam Lithography Resists
5.5. Advantages and Limitations
6. Nanoimprint Lithography
6.1. Nanoimprint Lithography Resolution
6.2. Advancement of New Materials in Nanoimprint Lithography
6.3. Advantages and Limitations
7. Alignment Marks in Lithography
7.1. Alignment Mark Designs
- Crosses and Boxes: The simplest and most used forms of alignment marks are crosses and dots. These marks are favored for their straightforward design and ease of use. Cross marks, consisting of two perpendicular lines, provide a clear intersection point that can be easily detected by alignment systems. Dots, on the other hand, are small points used as reference markers. Both types are used extensively in both lithography and electron beam lithography due to their simplicity and effectiveness in providing a clear, detectable reference for alignment purposes [228].
- Box-in-Box Marks: These marks are more complex and provide multiple reference points within a single mark. Typically, they consist of two concentric squares or rectangles, where the alignment is performed based on the position and orientation of the inner shape relative to the outer shape. This design allows for more detailed error analysis and correction, as it can provide information on both translational and rotational misalignments. These marks are widely used in multilayer processes where each layer’s exact positioning is crucial for the device’s functionality [229,230].
- Segmented or Vernier Marks: Named after the vernier scale used for precise measurements in mechanical engineering, these alignment marks are designed to measure misalignment more finely. They consist of two sets of lines where one set is slightly offset from the other. The degree of misalignment can be determined by observing the point at which the lines from the two sets appear to be continuous. This type of mark is extremely useful in fine-tuning the alignment process and is often used in conjunction with other types of marks to achieve high-precision overlays [231].
- Grating Patterns: For applications requiring higher precision, grating patterns are employed. These marks consist of a series of parallel lines or a grid, and they are particularly useful in advanced lithography techniques such as extreme ultraviolet (EUV) lithography. Grating patterns allow for the utilization of diffraction-based methods to precisely measure and correct alignment errors. The interference patterns generated by these gratings provide a more detailed feedback mechanism, enabling sub-nanometer precision in layer alignment. This type of mark is essential in applications where the overlay accuracy needs to be within a few nanometers [226,232].
7.2. Lithography Alignment Techniques
- Geometric Image Alignment: This technique leverages the geometric properties of images projected during the lithography process. It involves aligning the patterns based on their shapes and relative positions as viewed through the lithographic optical system. The effectiveness of geometric image alignment is dependent on several factors, including the design of the alignment marks and potential distortions introduced by the optical lenses. These distortions can affect the precision of the alignment, making the quality of the optical components and the design of the alignment marks critical to the success of this technique [233].
- Light Intensity-Based Alignment: This method utilizes variations in light intensity to facilitate precise alignment. It typically involves the detection of light reflected from specially designed alignment marks on the wafer, with the intensity of this reflected light compared against expected values to determine alignment accuracy. While straightforward, this technique can be susceptible to fluctuations in lighting conditions, which may impact its reliability. However, its simplicity makes it attractive for many standard lithographic applications where extreme precision is not the primary concern [234].
- Phase Shift Alignment: This sophisticated technique uses phase-shifting masks to manipulate the phase of light waves passing through the mask. By creating points of destructive interference, phase shift alignment enhances the resolution of the patterning process, allowing to produce smaller features on the wafer. This method is particularly valuable in advanced lithography processes where reducing feature sizes is crucial for increasing the density and functionality of semiconductor devices [235].
- Moiré Fringe Alignment: Figure 19 [232] shows grating pattern alignment marks for marginally distinct periods P2~1.1P1. Moiré fringe techniques employ the interference patterns that arise when two sets of gratings on the mask and the wafer overlap. These patterns are highly sensitive to misalignment, making them useful for achieving precise alignment with accuracies up to 10 nm and alignment ranges up to 500 µm. The technique involves a combination of coarse and fine alignment steps integrated into the same imaging process, allowing for both broad and highly precise adjustments. Moiré fringes can be generated using either physical or digital gratings, making this method versatile across different lithographic processes [232].
- Front-to-Back Alignment (FTBA): FTBA is essential for applications requiring the alignment of multiple layers, such as in the fabrication of microelectromechanical systems (MEMSs). This technique projects alignment marks from the back side of the wafer to its front side, ensuring precise layer-to-layer registration throughout the lithography process. Its ability to maintain high throughput makes it ideal for applications where speed and accuracy are both critical [236].
- Maskless Lithography Techniques: These innovative methods, which include electron beam lithography and focused ion beam lithography, do not rely on traditional photomasks. Instead, they directly write patterns onto the wafer surface using beams of electrons or ions. While these techniques offer high resolution and are ideal for prototyping or manufacturing with low volume requirements, their throughput is generally lower than that of traditional masked lithography methods. This makes them less suitable for high-volume production but invaluable for custom or experimental applications where flexibility and precision are paramount [237].
7.3. Techniques for Implementing Alignment Marks
7.3.1. Design Considerations
7.3.2. Placement Strategies
8. Overview of Mask Fabrication
8.1. Photomask Types
- Binary Masks: These are the most straightforward type of masks, consisting of clear and opaque regions. The opaque areas block the light, while the clear areas allow light to pass through, directly transferring the pattern onto the wafer. Binary masks are widely used for their simplicity and effectiveness in less complex lithography techniques.
- Phase-Shifting Masks (PSMs): Phase-shifting masks enhance the resolution and image quality by shifting the phase of light passing through the mask. This shift creates constructive and destructive interference patterns, sharply defining the edges of the features on the wafer. PSMs are particularly effective in advanced lithography, where feature sizes are close to or below the wavelength of the exposing light.
- Attenuated Phase-Shifting Masks: These are a variant of the traditional phase-shifting masks, incorporating a partially transparent film that reduces the intensity of the transmitted light. This attenuation helps in improving the process latitude and contrast of the image on the wafer, allowing for even finer resolution. These masks are beneficial in applications requiring extremely small feature sizes and high-density patterns [241].
8.2. Materials Used in Photomask Fabrication
- Quartz: Quartz is the most commonly used substrate material for photomasks due to its excellent optical transparency in the ultraviolet (UV) range, which is crucial for lithography processes involving deep UV and extreme ultraviolet (EUV) light. Quartz also offers superior dimensional stability and resistance to thermal expansion, which are essential for maintaining pattern accuracy during the intense UV exposure in lithography processes. Its high chemical resistance ensures that it can withstand the rigorous cleaning and processing chemicals used during mask fabrication and wafer processing [242].
- Chrome: Chromium is used to form the opaque regions on photomasks. It is deposited on the quartz substrate to create the patterned features that define the mask’s circuit designs. Chrome’s high opacity to UV light makes it an ideal choice for creating sharp, well-defined lines that block light effectively. Additionally, chrome adheres strongly to quartz, providing durability and resistance to peeling or flaking during the mask usage. Its ability to be finely patterned with high precision through processes like electron beam lithography ensures that even the most intricate designs can be accurately rendered [243].
- Titanium Nitride: Used in advanced photomasks, titanium nitride (TiN) serves as an alternative to chromium for certain applications, particularly in phase-shifting masks. TiN can be used to form attenuated phase-shifting areas due to its semi-transparent properties at specific lithography wavelengths. This material is also known for its mechanical hardness and chemical stability, which are beneficial for the longevity and reuse of masks in high-volume production environments [244].
- Molybdenum and Silicon thin films: Another material used in advanced mask technologies, particularly for EUV lithography, is molybdenum and silicon thin films. It is chosen for its ability to withstand the high-energy photons used in EUV lithography without degrading. This material provides a low degree of absorption and thermal stability, which are crucial for maintaining mask integrity under the intense conditions of EUV exposure [245].
8.3. Challenges in Mask Fabrication
8.3.1. Resolution Limits
8.3.2. Defect Mitigation
9. Molecular Strategies in Nanoscale Lithography
9.1. Molecular Materials for Lithography
9.1.1. Resist Materials: Molecular Design and Properties
9.1.2. Nanostructured Resists: Self-Assembling Materials for Advanced Patterning
9.2. Molecular Mechanisms in Lithography
9.2.1. Photoresist Chemistry: Photochemical Reactions and Processes
9.2.2. Molecular Dynamics Simulations: Predictive Modeling of Nanoscale Behavior
- Resist Materials: MD can illuminate how resist materials behave during lithographic steps such as exposure, development, and etching. For example, MD can provide insights into the dynamics of polymer chains during pattern formation and the interactions between resist components and solvents. Such insight may lead to improved resist formulations. A study by Kim et al. [286] used coarse-grained MD simulations to investigate the effect of chain conformation on line-edge roughness (LER) formation in EUV photoresists, revealing the importance of balancing chain length and polymer–developer interactions to minimize roughness [286,287]. This simulation of EUV photoresists is useful in designing a material and process for sub-1x nm patterning, as the critical dimension is almost the molecular size [288].
- Nanoelectrode Lithography: MD simulations can provide important insights into the underlying mechanisms of novel lithography techniques. Reactive Force Field Molecular Dynamics (ReaxFF MD) simulations have been applied to study nanoelectrode lithography (NEL) for the oxidation of silicon surfaces, including the effects of pulse duration and electric field strength on oxide formation [289].
- Etch Processes: MD simulations are employed to model plasma etching, simulating the interactions of ions and radicals with resist materials and substrates. This aids in optimizing etching conditions and designing etch-resistant materials, as demonstrated in studies using MD to analyze the effects of plasma composition and process parameters on etch selectivity and pattern transfer [290,291,292,293]. Figure 22 [291] shows a plasma etch simulation of SiO2 with HF with different ion energies of (a) 20 eV, (b) 30 eV, (c) 40 eV, and (d) 80 eV. Simulation results show that the amount of SiO2 etched increases with the increase in incident ion energy of each HF molecule.
- Self-Assembly: MD simulations are valuable for studying the self-assembly of nanostructures like block copolymers used in nanostructured resists. These simulations provide insights into the factors governing the morphology and ordering of self-assembled structures and molecular self-assembly of photoresist materials, aiding in the design of materials with tailored properties [294,295].
9.3. Molecular Scale Patterning Techniques
9.3.1. DNA Origami: Bottom–Up Fabrication of Complex Nanostructures
9.3.2. Biomolecular Templates: Guiding Assembly at the Molecular Level
10. Conclusions and Future Scope
Author Contributions
Funding
Institutional Review Board Statement
Informed Consent Statement
Data Availability Statement
Conflicts of Interest
References
- Pease, R.F.; Chou, S.Y. Lithography and Other Patterning Techniques for Future Electronics. Proc. IEEE 2008, 96, 248–270. [Google Scholar] [CrossRef]
- Thompson, L.F. An Introduction to Lithography. In Introduction to Microlithography; ACS Symposium Series; American Chemical Society: Washington, DC, USA, 1983; Volume 219, pp. 1–13. [Google Scholar]
- Chan, K.F.; Feng, Z.; Yang, R.; Ishikawa, A.; Mei, W. High-resolution maskless lithography. J. Micro/Nanolithography MEMS MOEMS 2003, 2, 331–339. [Google Scholar]
- Pease, R.F. Maskless lithography. Microelectron. Eng. 2005, 78–79, 381–392. [Google Scholar] [CrossRef]
- Chiu, G.L.T.; Shaw, J.M. Optical lithography: Introduction. IBM J. Res. Dev. 1997, 41, 3–6. [Google Scholar] [CrossRef]
- Bratton, D.; Yang, D.; Dai, J.; Ober, C.K. Recent progress in high resolution lithography. Polym. Adv. Technol. 2006, 17, 94–103. [Google Scholar] [CrossRef]
- Seisyan, R.P. Nanolithography in microelectronics: A review. Tech. Phys. 2011, 56, 1061–1073. [Google Scholar] [CrossRef]
- Harriott, L.R. Limits of lithography. Proc. IEEE 2001, 89, 366–374. [Google Scholar] [CrossRef]
- Ito, T.; Okazaki, S. Pushing the limits of lithography. Nature 2000, 406, 1027–1031. [Google Scholar] [CrossRef]
- Sanders, D.P. Advances in Patterning Materials for 193 nm Immersion Lithography. Chem. Rev. 2010, 110, 321–360. [Google Scholar] [CrossRef]
- Hasan, R.M.M.; Luo, X. Promising Lithography Techniques for Next-Generation Logic Devices. Nanomanufacturing Metrol. 2018, 1, 67–81. [Google Scholar] [CrossRef]
- Kim, S.S.; Yong, S.K.; Kim, W.; Kang, S.; Park, H.W.; Yoon, K.J.; Sheen, D.S.; Lee, S.; Hwang, C.S. Review of Semiconductor Flash Memory Devices for Material and Process Issues. Adv. Mater. 2023, 35, 2200659. [Google Scholar] [CrossRef]
- Rothschild, M.; Forte, A.R.; Horn, M.W.; Kunz, R.R.; Palmateer, S.C.; Sedlacek, J.H.C. 193-nm lithography. IEEE J. Sel. Top. Quantum Electron. 1995, 1, 916–923. [Google Scholar] [CrossRef]
- Canpolat-Schmidt, C.; Heldt, G.; Helke, C.; Voigt, A.; Reuter, D. Lithographic Performance of Resist ma-N 1402 in an e-Beam/i-Line Stepper Intra-Level Mix and Match Approach; SPIE: Bellingham, WA, USA, 2022; Volume 12472. [Google Scholar]
- Helke, C.; Canpolat-Schmidt, C.H.; Heldt, G.; Schermer, S.; Hartmann, S.; Voigt, A.; Reuter, D. Intra-level mix and match lithography with electron beam lithography and i-line stepper combined with resolution enhancement for structures below the CD-limit. Micro Nano Eng. 2023, 19, 100189. [Google Scholar] [CrossRef]
- Schermer, S.; Helke, C.; Reinhardt, M.; Hartmann, S.; Tank, F.; Wecker, J.; Heldt, G.; Voigt, A.; Reuter, D. Characterization of negative tone photoresist mr-EBL 6000.5 for i-line stepper and electron beam lithography for the Intra-Level Mix & Match Approach. Micro Nano Eng. 2024, 23, 100264. [Google Scholar] [CrossRef]
- Lewis, J.A.; Gratson, G.M. Direct writing in three dimensions. Mater. Today 2004, 7, 32–39. [Google Scholar] [CrossRef]
- Wang, H.; Pan, C.-F.; Li, C.; Menghrajani, K.S.; Schmidt, M.A.; Li, A.; Fan, F.; Zhou, Y.; Zhang, W.; Wang, H.; et al. Two-photon polymerization lithography for imaging optics. Int. J. Extrem. Manuf. 2024, 6, 042002. [Google Scholar] [CrossRef]
- Harinarayana, V.; Shin, Y.C. Two-photon lithography for three-dimensional fabrication in micro/nanoscale regime: A comprehensive review. Opt. Laser Technol. 2021, 142, 107180. [Google Scholar] [CrossRef]
- Faraji Rad, Z.; Prewett, P.D.; Davies, G.J. High-resolution two-photon polymerization: The most versatile technique for the fabrication of microneedle arrays. Microsyst. Nanoeng. 2021, 7, 71. [Google Scholar] [CrossRef]
- Pinheiro, T.; Morais, M.; Silvestre, S.; Carlos, E.; Coelho, J.; Almeida, H.V.; Barquinha, P.; Fortunato, E.; Martins, R. Direct Laser Writing: From Materials Synthesis and Conversion to Electronic Device Processing. Adv. Mater. 2024, 36, 2402014. [Google Scholar] [CrossRef]
- Wahl, M.; Michelmann, J.; Sailer, H.; Schneider, A.; Dionisio, N. Advanced Patterning Strategies for Maskless Laser Direct Write Lithography; SPIE: Bellingham, WA, USA, 2024; Volume 12956. [Google Scholar]
- Qin, D.; Xia, Y.; Whitesides, G.M. Soft lithography for micro-and nanoscale patterning. Nat. Protoc. 2010, 5, 491. [Google Scholar]
- Cavallini, M.; Gentili, D.; Greco, P.; Valle, F.; Biscarini, F. Micro- and nanopatterning by lithographically controlled wetting. Nat. Protoc. 2012, 7, 1668–1676. [Google Scholar] [CrossRef]
- Samorì, P. Scanning Probe Microscopies Beyond Imaging: Manipulation of Molecules and Nanostructures; John Wiley & Sons: Hoboken, NJ, USA, 2006. [Google Scholar]
- Shamoun, B.; Chandramouli, M.; Liu, B.; Juday, R.; Bucay, I.; Sowers, A.; Abboud, F. Multi-Beam Mask Writer in EUV Era: Challenges and Opportunities; SPIE: Bellingham, WA, USA, 2021; Volume 11610. [Google Scholar]
- Tomandl, M.; Spengler, C.; Hudek, P.; Klein, C.; Loeschner, H.; Platzgummer, E. Multi-beam mask writing opens up new fields of application, including curvilinear mask pattern for high numerical aperture extreme ultraviolet lithography. J. Micro/Nanopatterning Mater. Metrol. 2024, 23, 011205. [Google Scholar] [CrossRef]
- Matsumoto, H.; Yasuda, J.; Motosugi, T.; Kimura, H.; Kojima, Y.; Yamashita, H.; Saito, M.; Nakayamada, N. Multi-Beam Mask Writer MBM-3000 for Next Generation EUV Mask Production; SPIE: Bellingham, WA, USA, 2024; Volume 12956. [Google Scholar]
- Ceballos, A.; MacWilliams, K.; Prescop, T.; Loewen, R. Full-Wafer, Maskless Patterning with Sub-50nm Resolution and Large Depth-of-Focus Enabled by Multicolumn Electron Beam Lithography; SPIE: Bellingham, WA, USA, 2024; Volume 12956. [Google Scholar]
- Lee, P.P.; Chang, P.J.; Tsai, F.F.; Ku, H.C.; Cheng, M.-D.; Wang, J.J.; Lii, M.J.; Atanelov, J.; Dang, A.-D.; Kvasnica, S. Make a Core Engine Ready to Empower High Quality Multi-Beam Mask Writer for N2 Node and Beyond; SPIE: Bellingham, WA, USA, 2024; Volume 13177. [Google Scholar]
- Ou, T.W.; Ho, W.K.; Lai, T.S.; Lu, J.L.; Chen, A.C.; Egl, A.; Kühmayer, M.; Brenner, F. New Applications on Multi-Beam Mask Writers to Enable Mask-Making in 3nm and Beyond; SPIE: Bellingham, WA, USA, 2024; Volume 13216. [Google Scholar]
- Aibara, I.; Matsumoto, H.; Yasuda, J.; Yasui, K.-i.; Motosugi, T.; Kimura, H.; Kawaguchi, M.; Kojima, Y.; Saito, M.; Nakayamada, N. Recent Progress of Multi-Beam Mask Writer MBM-3000; SPIE: Bellingham, WA, USA, 2024; Volume 13273. [Google Scholar]
- Noriaki, N.; Haruyuki, N.; Yasuo, K.; Kenichi, Y.; Abhishek, S.; Nagesh, S.; Yukihiro, M.; Aki, F. Curvilinear mask process correction embedded on multi-beam mask writer. J. Micro/Nanopatterning Mater. Metrol. 2024, 23, 011206. [Google Scholar] [CrossRef]
- Rothschild, M.; Forte, A.R.; Kunz, R.R.; Palmateer, S.C.; Sedlacek, J.H.C. Lithography at a wavelength of 193 nm. IBM J. Res. Dev. 1997, 41, 49–55. [Google Scholar] [CrossRef]
- Fu, N.; Liu, Y.; Ma, X.; Chen, Z. EUV lithography: State-of-the-art review. J. Microelectron. Manuf. 2019, 2, 1–6. [Google Scholar] [CrossRef]
- Nguyen, K.B.; Cardinale, G.F.; Tichenor, D.A.; Kubiak, G.D.; Berger, K.; Ray-Chaudhuri, A.K.; Perras, Y.; Haney, S.J.; Nissen, R.; Krenz, K.; et al. Fabrication of metal–oxide–semiconductor devices with extreme ultraviolet lithography. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 1996, 14, 4188–4192. [Google Scholar] [CrossRef]
- Hansson, B.; Fomenkov, I.; Böwering, N.; Ershov, A.; Partlo, W.; Myers, D.; Khodykin, O.; Bykanov, A.; Rettig, C.; Hoffman, J.; et al. LPP EUV Source Development for HVM; SPIE: Bellingham, WA, USA, 2006; Volume 6151. [Google Scholar]
- Versolato, O.O. Physics of laser-driven tin plasma sources of EUV radiation for nanolithography. Plasma Sources Sci. Technol. 2019, 28, 083001. [Google Scholar] [CrossRef]
- Wagner, C.; Harned, N. Lithography gets extreme. Nat. Photonics 2010, 4, 24–26. [Google Scholar] [CrossRef]
- Yang, D.-K.; Wang, D.; Huang, Q.-S.; Song, Y.; Wu, J.; Li, W.-X.; Wang, Z.-S.; Tang, X.-H.; Xu, H.-X.; Liu, S. The development of laser-produced plasma EUV light source. Chip 2022, 1, 100019. [Google Scholar] [CrossRef]
- van Zwol, P.J.; Nasalevich, M.; Voorthuijzen, W.P.; Kurganova, E.; Notenboom, A.; Vles, D.; Peter, M.; Symens, W.; Giesbers, A.J.M.; Klootwijk, J.H.; et al. Pellicle Films Supporting the Ramp to HVM with EUV; SPIE: Bellingham, WA, USA, 2017; Volume 10451. [Google Scholar]
- Brandt, D.; Fomenkov, I.; Stewart, J. Progress in Availably of NXE:3400B EUVL Sources in the Field and Power Scaling Towards 500W (Conference Presentation); SPIE: Bellingham, WA, USA, 2020; Volume 11323. [Google Scholar]
- Brandt, D.; Purvis, M.; Fomenkov, I.; Brown, D.; Schafgans, A.; Mayer, P.; Rafac, R. Advances Toward High Power EUV Sources for EUVL Scanners for HVM in the Next Decade and Beyond; SPIE: Bellingham, WA, USA, 2021; Volume 11609. [Google Scholar]
- Mourou, G.A.; Hulin, D.; Galvanauskas, A. The Road to High Peak Power and High Average Power Lasers: Coherent-Amplification-Network (CAN). In Proceedings of the AIP Conference Proceedings, Varenna, Italy, 19–24 September 2006; pp. 152–163. [Google Scholar]
- Bilski, B.; Zimmermann, J.; Roesch, M.; Liddle, J.; van Setten, E.; Bottiglieri, G.; van Schoot, J. High-NA EUV Imaging: Challenges and Outlook; SPIE: Bellingham, WA, USA, 2019; Volume 11177. [Google Scholar]
- van Eelco, S.; Gerardo, B.; John, M.; van Jan, S.; Kars, T.; Joseph, Z.; Timon, F.; Stephen, H.; Joerg, Z.; Matthias, R.; et al. High NA EUV lithography: Next step in EUV imaging. In Extreme Ultraviolet (EUV) Lithography X; SPIE: Bellingham, WA, USA, 2019; p. 1095709. [Google Scholar]
- Borman, S.; Gustas, D.; van Loo, H.; Gang, T.; Oorschot, D.; Brouwer, A.; Colina, A.; Horsten, F.; van Rhee, T. Measurement of Image Fading Impact on 20P40 Contact Hole LCDU; SPIE: Bellingham, WA, USA, 2023; Volume PC12750. [Google Scholar]
- Manouras, T.; Argitis, P. High Sensitivity Resists for EUV Lithography: A Review of Material Design Strategies and Performance Results. Nanomaterials 2020, 10, 1593. [Google Scholar] [CrossRef]
- Cao, H.; Yueh, W.; Rice, B.; Roberts, J.; Bacuita, T.; Chandhok, M. Sources of Line-Width Roughness for EUV Resists; SPIE: Bellingham, WA, USA, 2004; Volume 5376. [Google Scholar]
- Hasan, M.W.; Deeb, L.; Kumaniaev, S.; Wei, C.; Wang, K. Recent Advances in Metal-Oxide-Based Photoresists for EUV Lithography. Micromachines 2024, 15, 1122. [Google Scholar] [CrossRef] [PubMed]
- Dong, X.; Shao, Y.a.; Ping, H.; Tong, X.; Wu, Y.; Zhang, Y.; Wang, M.; Zheng, Z.; Zhao, J.; Wang, J.; et al. Effect of Metal Oxide Deposition on the Sensitivity and Resolution of E-Beam Photoresist. ACS Appl. Mater. Interfaces 2024, 16, 56019–56030. [Google Scholar] [CrossRef]
- Sharma, E.; Rathi, R.; Misharwal, J.; Sinhmar, B.; Kumari, S.; Dalal, J.; Kumar, A. Evolution in Lithography Techniques: Microlithography to Nanolithography. Nanomaterials 2022, 12, 2754. [Google Scholar] [CrossRef]
- Ober, C.; Xu, H.; Kosma, V.; Sakai, K.; Giannelis, E. EUV Photolithography: Resist Progress and Challenges; SPIE: Bellingham, WA, USA, 2018; Volume 10583. [Google Scholar]
- Hassaan, M.; Saleem, U.; Singh, A.; Haque, A.J.; Wang, K. Recent Advances in Positive Photoresists: Mechanisms and Fabrication. Materials 2024, 17, 2552. [Google Scholar] [CrossRef] [PubMed]
- Yao, X.; Lian, P.; Chen, J.; Zeng, Y.; Yu, T.; Wang, S.; Guo, X.; Hu, R.; Tian, P.; Vockenhuber, M.; et al. Iodonium functionalized polystyrene as non-chemically amplified resists for electron beam and extreme ultraviolet lithography. RSC Appl. Polym. 2024, 2, 870–879. [Google Scholar] [CrossRef]
- Yamamoto, H.; Vesters, Y.; Jiang, J.; Simone, D.D.; Vandenberghe, G.; Kozawa, T. Role of Metal Sensitizers for Sensitivity Improvement in EUV Chemically Amplified Resist. J. Photopolym. Sci. Technol. 2018, 31, 747–751. [Google Scholar] [CrossRef]
- Sanjay; Kumar, V.; Vohra, A. Triple and quadruple metal gate work function engineering to improve the performance of junctionless double surrounding gate In0.53Ga0.47As nanotube MOSFET for the upcoming Sub 3 nm technology node. Phys. Lett. A 2024, 527, 130011. [Google Scholar] [CrossRef]
- Thakur, N.; Tseng, L.-T.; Vockenhuber, M.; Ekinci, Y.; Castellanos, S. Stability studies on a sensitive EUV photoresist based on zinc metal oxoclusters. J. Micro/Nanolithography MEMS MOEMS 2019, 18, 043504. [Google Scholar]
- Van Schoot, J.; Lok, S.; van Setten, E.; Maas, R.; Troost, K.; Peeters, R.; Finders, J.; Stoeldraijer, J.; Benschop, J.; Graeupner, P.; et al. High-NA EUV Lithography Exposure Tool: Advantages and Program Progress; SPIE: Bellingham, WA, USA, 2021; Volume 11517. [Google Scholar]
- Petrillo, K.; Huang, G.; Ashworth, D.; Georger, J.; Ren, L.; Cho, K.Y.; Montgomery, W.; Wurm, S.; Kawakami, S.; Dunn, S.; et al. Line Width Roughness Control and Pattern Collapse Solutions for EUV Patterning; SPIE: Bellingham, WA, USA, 2011; Volume 7969. [Google Scholar]
- Groves, T.R.; Pickard, D.; Rafferty, B.; Crosland, N.; Adam, D.; Schubert, G. Maskless electron beam lithography: Prospects, progress, and challenges. Microelectron. Eng. 2002, 61–62, 285–293. [Google Scholar] [CrossRef]
- Vieu, C.; Carcenac, F.; Pépin, A.; Chen, Y.; Mejias, M.; Lebib, A.; Manin-Ferlazzo, L.; Couraud, L.; Launois, H. Electron beam lithography: Resolution limits and applications. Appl. Surf. Sci. 2000, 164, 111–117. [Google Scholar] [CrossRef]
- Tseng, A.A.; Kuan, C.; Chen, C.D.; Ma, K.J. Electron beam lithography in nanoscale fabrication: Recent development. IEEE Trans. Electron. Packag. Manuf. 2003, 26, 141–149. [Google Scholar] [CrossRef]
- Cui, Z. (Ed.) Electron Beam Lithography. In Nanofabrication: Principles, Capabilities and Limits; Springer International Publishing: Berlin/Heidelberg, Germany, 2024; pp. 83–139. [Google Scholar]
- Sebastian, E.M.; Jain, S.K.; Purohit, R.; Dhakad, S.K.; Rana, R.S. Nanolithography and its current advancements. Mater. Today: Proc. 2020, 26, 2351–2356. [Google Scholar] [CrossRef]
- Chen, Y. Nanofabrication by electron beam lithography and its applications: A review. Microelectron. Eng. 2015, 135, 57–72. [Google Scholar] [CrossRef]
- Pfeiffer, H. Direct Write Electron Beam Lithography: A Historical Overview; SPIE: Bellingham, WA, USA, 2010; Volume 7823. [Google Scholar]
- Kumar, V.; Maan, A.S. Improvement in reverse bias leakage current of Ni/4H-nSiC Schottky barrier diodes via MeV selective ion irradiation. IOP Conf. Ser. Mater. Sci. Eng. 2018, 331, 012016. [Google Scholar] [CrossRef]
- Hohn, F.J. Electron beam lithography: Its applications. J. Vac. Sci. Technol. B Microelectron. Process. Phenom. 1989, 7, 1405–1411. [Google Scholar] [CrossRef]
- Cen Shawn, W.; Yoshiyuki, M.; ChiiDong, C. High-energy Electron Beam Lithography for Nanoscale Fabrication. In Lithography, Michael, W., Ed.; IntechOpen: Rijeka, Hrvatska, 2010; p. 241. [Google Scholar]
- Regina, F.; Jeff, S.; Alan, B.; Paul, P.; Mark, M.; Kurt, R.; Luc, H.; Bart, V. Demonstration of lithography patterns using reflective e-beam direct write. In Alternative Lithographic Technologies III; SPIE: Bellingham, WA, USA, 2011; p. 79701T. [Google Scholar]
- Ahmed, H. Physical principles of electron beam lithography. Sci. Prog. 1986, 70, 473–487. [Google Scholar]
- Nabity, J.C.; Wybourne, M.N. A versatile pattern generator for high-resolution electron-beam lithography. Rev. Sci. Instrum. 1989, 60, 27–32. [Google Scholar] [CrossRef]
- Yu, B.; Jhih-Rong, G.; Pan, D.Z. L-shape based layout fracturing for e-beam lithography. In Proceedings of the 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC), Yokohama, Japan, 22–25 January 2013; pp. 249–254. [Google Scholar]
- Broers, A.N.; Hoole, A.C.F.; Ryan, J.M. Electron beam lithography—Resolution limits. Microelectron. Eng. 1996, 32, 131–142. [Google Scholar] [CrossRef]
- Okazaki, S. High resolution optical lithography or high throughput electron beam lithography: The technical struggle from the micro to the nano-fabrication evolution. Microelectron. Eng. 2015, 133, 23–35. [Google Scholar] [CrossRef]
- Liu, M.; Qiu, Y.; Chen, b.; Xiu, Q.; Zheng, Y. Electron beam lithography and its application in fabricating nano-device. In Proceedings of the 7th International Conference on Solid-State and Integrated Circuits Technology, Beijing, China, 18–21 October 2004; Volume 561, pp. 563–566. [Google Scholar]
- Pan, J.C.; Lang, F.C.; Zhao, X.P.; Hou, X.H.; Li, J.J. Nanomechanical properties of the ZEP-520 electron beam resist film. Mater. Today Commun. 2021, 27, 102442. [Google Scholar] [CrossRef]
- Koleva, E.; Vutova, K.; Asparuhova, B.; Kostic, I.; Cvetkov, K.; Gerasimov, V. Modeling approaches for electron beam lithography. J. Phys. Conf. Ser. 2018, 1089, 012016. [Google Scholar] [CrossRef]
- Sahin, O.; Albayrak, O.M.; Yapici, M.K. Optimization of e-beam lithography parameters for nanofabrication of sub-50 nm gold nanowires and nanogaps based on a bilayer lift-off process. Nanotechnology 2024, 35, 395301. [Google Scholar] [CrossRef]
- Yoo, J.B.; Park, S.-W.; Kang, H.N.; Mondkar, H.S.; Sohn, K.; Kim, H.-M.; Kim, K.-B.; Lee, H. Triphenylsulfonium salt methacrylate bound polymer resist for electron beam lithography. Polymer 2014, 55, 3599–3604. [Google Scholar] [CrossRef]
- Singh, V.; Satyanarayana, V.S.V.; Sharma, S.K.; Ghosh, S.; Gonsalves, K.E. Towards novel non-chemically amplified (n-CARS) negative resists for electron beam lithography applications. J. Mater. Chem. C 2014, 2, 2118–2122. [Google Scholar] [CrossRef]
- Satyanarayana, V.S.V.; Kessler, F.; Singh, V.; Scheffer, F.R.; Weibel, D.E.; Ghosh, S.; Gonsalves, K.E. Radiation-Sensitive Novel Polymeric Resist Materials: Iterative Synthesis and Their EUV Fragmentation Studies. ACS Appl. Mater. Interfaces 2014, 6, 4223–4232. [Google Scholar] [CrossRef]
- Abbas, A.S.; Alqarni, S.; Shokouhi, B.B.; Yavuz, M.; Cui, B. Water soluble and metal-containing electron beam resist poly(sodium 4-styrenesulfonate). Mater. Res. Express 2014, 1, 045102. [Google Scholar] [CrossRef]
- Stowers, J.; Keszler, D.A. High resolution, high sensitivity inorganic resists. Microelectron. Eng. 2009, 86, 730–733. [Google Scholar] [CrossRef]
- Gangnaik, A.S.; Georgiev, Y.M.; Holmes, J.D. New Generation Electron Beam Resists: A Review. Chem. Mater. 2017, 29, 1898–1917. [Google Scholar] [CrossRef]
- Qin, N.; Qian, Z.-G.; Zhou, C.; Xia, X.-X.; Tao, T.H. 3D electron-beam writing at sub-15 nm resolution using spider silk as a resist. Nat. Commun. 2021, 12, 5133. [Google Scholar] [CrossRef]
- Kofler, J.; Schmoltner, K.; Klug, A.; List-Kratochvil, E.J.W. Highly robust electron beam lithography lift-off process using chemically amplified positive tone resist and PEDOT:PSS as a protective coating. J. Micromechanics Microengineering 2014, 24, 095010. [Google Scholar] [CrossRef]
- Canalejas-Tejero, V.; Carrasco, S.; Navarro-Villoslada, F.; García Fierro, J.L.; Capel-Sánchez, M.d.C.; Moreno-Bondi, M.C.; Barrios, C.A. Ultrasensitive non-chemically amplified low-contrast negative electron beam lithography resist with dual-tone behaviour. J. Mater. Chem. C 2013, 1, 1392–1398. [Google Scholar] [CrossRef]
- Groves, T.R. 3—Electron beam lithography. In Nanolithography; Feldman, M., Ed.; Woodhead Publishing: Sawston, UK, 2014; pp. 80–115. [Google Scholar]
- Smith, D.J. Ultimate resolution in the electron microscope? Mater. Today 2008, 11, 30–38. [Google Scholar] [CrossRef]
- Tormen, M.; Grenci, G.; Marmiroli, B.; Romanato, F. X-ray Lithography. In Nano-Lithography; Wiley: Hoboken, NJ, USA, 2013; pp. 1–86. [Google Scholar]
- Smith, H.I.; Spears, D.L.; Bernacki, S.E. X-Ray Lithography: A Complementary Technique to Electron Beam Lithography. J. Vac. Sci. Technol. 1973, 10, 913–917. [Google Scholar] [CrossRef]
- Maldonado, J.R.; Poulsen, M.E.; Saunders, T.E.; Vratny, F.; Zacharias, A. X-ray lithography source using a stationary solid Pd target. J. Vac. Sci. Technol. 1979, 16, 1942–1945. [Google Scholar] [CrossRef]
- Bharti, A.; Turchet, A.; Marmiroli, B. X-Ray Lithography for Nanofabrication: Is There a Future? Front. Nanotechnol. 2022, 4, 835701. [Google Scholar] [CrossRef]
- Nazmov, V.; Goldenberg, B.; Vasiliev, A.; Asadchikov, V. Optimization of x-ray lithography conditions for fabrication of large arrays of high-aspect-ratio submicron pores. J. Micromechanics Microengineering 2021, 31, 055011. [Google Scholar] [CrossRef]
- Silverman, J.P. X-ray lithography: Status, challenges, and outlook for 0.13 μm. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 1997, 15, 2117–2124. [Google Scholar] [CrossRef]
- Nagel, D.J. Chapter 6—Plasma Sources for X-Ray Lithography. In VLSI Electronics Microstructure Science; Einspruch, N.G., Brown, D.M., Eds.; Elsevier: Amsterdam, The Netherlands, 1984; Volume 8, pp. 137–170. [Google Scholar]
- Okada, I.; Saitoh, Y.; Itabashi, S.; Yoshihara, H. A plasma x-ray source for x-ray lithography. J. Vac. Sci. Technol. B Microelectron. Process. Phenom. 1986, 4, 243–247. [Google Scholar] [CrossRef]
- Kalantar, D.H.; Hammer, D.A.; Mittal, K.C.; Qi, N.; Maldonado, J.R.; Vladimirsky, Y. Intense pulsed plasma x-ray source for lithography. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 1991, 9, 3245–3249. [Google Scholar] [CrossRef]
- Chaker, M.; Pépin, H.; Bareau, V.; Lafontaine, B.; Toubhans, I.; Fabbro, R.; Faral, B. Laser plasma x-ray sources for microlithography. J. Appl. Phys. 1988, 63, 892–899. [Google Scholar] [CrossRef]
- Chaker, M.; La Fontaine, B.; Côté, C.Y.; Kieffer, J.C.; Pépin, H.; Talon, M.H.; Enright, G.D.; Villeneuve, D.M. Laser plasma sources for proximity printing or projection x-ray lithography. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 1992, 10, 3239–3242. [Google Scholar] [CrossRef]
- Kohn, V.G. On the theory of synchrotron radiation nanofocusing with planar compound refractive lenses. J. Synchrotron Radiat. 2022, 29, 615–621. [Google Scholar] [CrossRef]
- Haelbich, R.P.; Silverman, J.P.; Warlaumont, J.M. Synchrotrom radiation X-ray lithography. Nucl. Instrum. Methods Phys. Res. 1984, 222, 291–301. [Google Scholar] [CrossRef]
- Maldonado, J.R.; Peckerar, M. X-ray lithography: Some history, current status and future prospects. Microelectron. Eng. 2016, 161, 87–93. [Google Scholar] [CrossRef]
- Pearlman, J.; Riordan, J. Bright Discharge Plasma Sources For X-Ray Lithography; SPIE: Bellingham, WA, USA, 1985; Volume 0537. [Google Scholar]
- Kitayama, T.; Hayasaka, T.; Yoshihara, H.; Ishihara, S. Synchrotron X-ray Lithography System Using A Compact Source; SPIE: Bellingham, WA, USA, 1989; Volume 1089. [Google Scholar]
- Nazmov, V.P.; Goldenberg, B.G.; Reznikova, E.F.; Boerner, M. Self-aligned single-exposure deep x-ray lithography. AIP Conf. Proc. 2020, 2299. [Google Scholar] [CrossRef]
- Feldman, M.; Sun, J. Resolution limits in x-ray lithography. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 1992, 10, 3173–3176. [Google Scholar] [CrossRef]
- Smith, H.I.; Schattenburg, M.L. X-ray lithography from 500 to 30 nm: X-ray nanolithography. IBM J. Res. Dev. 1993, 37, 319–329. [Google Scholar] [CrossRef]
- Zalevsky, Z.; Abdulhalim, I. Chapter 4—Fabrication Aspects of Integrated Devices. In Integrated Nanophotonic Devices, 2nd ed.; Zalevsky, Z., Abdulhalim, I., Eds.; William Andrew Publishing: Oxford, UK, 2014; pp. 103–115. [Google Scholar]
- Thompson, L.F.; Feit, E.D.; Bowden, M.J.; Lenzo, P.V.; Spencer, E.G. Polymeric Resists for X-Ray Lithography. J. Electrochem. Soc. 1974, 121, 1500. [Google Scholar] [CrossRef]
- Schenk, R.; Halle, O.; Müllen, K.; Ehrfeld, W.; Schmidt, M. Highly sensitive resist material for deep X-ray lithography. Microelectron. Eng. 1997, 35, 105–108. [Google Scholar] [CrossRef]
- Moldonado, J.R. X-ray lithography, where it is now and where it is going. J. Electron. Mater. 1990, 19, 699–709. [Google Scholar] [CrossRef]
- Spille, E.; Feder, R. X-ray lithography. In X-Ray Optics: Applications to Solids; Queisser, H.-J., Ed.; Springer: Berlin, Heidelberg, 1977; pp. 35–92. [Google Scholar]
- Mekaru, H. Performance of SU-8 Membrane Suitable for Deep X-Ray Grayscale Lithography. Micromachines 2015, 6, 252–265. [Google Scholar] [CrossRef]
- Warlaumont, J. X-ray lithography: On the path to manufacturing. J. Vac. Sci. Technol. B Microelectron. Process. Phenom. 1989, 7, 1634–1641. [Google Scholar] [CrossRef]
- Heuberger, A. X-ray lithography. Microelectron. Eng. 1986, 5, 3–38. [Google Scholar] [CrossRef]
- Seligson, D.; Ito, H.; Willson, C.G. The impact of high-sensitivity resist materials on x-ray lithography. J. Vac. Sci. Technol. B Microelectron. Process. Phenom. 1988, 6, 2268–2273. [Google Scholar] [CrossRef]
- Haller, I.; Feder, R.; Hatzakis, M.; Spiller, E. Copolymers of Methyl Methacrylate and Methacrylic Acid and Their Metal Salts as Radiation Sensitive Resists. J. Electrochem. Soc. 1979, 126, 154. [Google Scholar] [CrossRef]
- Lenzo, P.V.; Spencer, E.G. High-speed low-power x-ray lithography. Appl. Phys. Lett. 1974, 24, 289–291. [Google Scholar] [CrossRef]
- Stokes, K.; Clark, K.; Odetade, D.; Hardy, M.; Goldberg Oppenheimer, P. Advances in lithographic techniques for precision nanostructure fabrication in biomedical applications. Discov Nano 2023, 18, 153. [Google Scholar] [CrossRef]
- Silverman, J.P. Challenges and progress in X-ray lithography. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 1998, 16, 3137–3141. [Google Scholar] [CrossRef]
- Murphy, J.B.; White, D.L.; MacDowell, A.A.; Wood, O.R. Synchrotron radiation sources and condensers for projection x-ray lithography. Appl. Opt. 1993, 32, 6920–6929. [Google Scholar] [CrossRef]
- Mah, A.H.; Mei, H.; Basu, P.; Laws, T.S.; Ruchhoeft, P.; Verduzco, R.; Stein, G.E. Swelling responses of surface-attached bottlebrush polymer networks. Soft Matter 2018, 14, 6728–6736. [Google Scholar] [CrossRef]
- Brown, W.L.; Venkatesan, T.; Wagner, A. Ion beam lithography. Nucl. Instrum. Methods Phys. Res. 1981, 191, 157–168. [Google Scholar] [CrossRef]
- He, S.; Tian, R.; Wu, W.; Li, W.-D.; Wang, D. Helium-ion-beam nanofabrication: Extreme processes and applications. Int. J. Extrem. Manuf. 2021, 3, 012001. [Google Scholar] [CrossRef]
- Melngailis, J.; Mondelli, A.A.; Berry, I.L., III.; Mohondro, R. A review of ion projection lithography. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 1998, 16, 927–957. [Google Scholar] [CrossRef]
- Kumar, V.; Verma, J.; Maan, A.S.; Akhtar, J. Epitaxial 4H–SiC based Schottky diode temperature sensors in ultra-low current range. Vacuum 2020, 182, 109590. [Google Scholar] [CrossRef]
- Watt, F.; Bettiol, A.A.; van Kan, J.A.; Teo, E.J.; Breese, M.B.H. Ion Beam Lithography and Nanofabrication: A Review. Int. J. Nanosci. 2005, 04, 269–286. [Google Scholar] [CrossRef]
- Joshi-Imre, A.; Bauerdick, S. Direct-Write Ion Beam Lithography. J. Nanotechnol. 2014, 2014, 170415. [Google Scholar] [CrossRef]
- Melngailis, J. Focused ion beam technology and applications. J. Vac. Sci. Technol. B Microelectron. Process. Phenom. 1987, 5, 469–495. [Google Scholar] [CrossRef]
- Li, P.; Chen, S.; Dai, H.; Yang, Z.; Chen, Z.; Wang, Y.; Chen, Y.; Peng, W.; Shan, W.; Duan, H. Recent advances in focused ion beam nanofabrication for nanostructures and devices: Fundamentals and applications. Nanoscale 2021, 13, 1529–1565. [Google Scholar] [CrossRef]
- Smith, N.S.; Notte, J.A.; Steele, A.V. Advances in source technology for focused ion beam instruments. MRS Bull. 2014, 39, 329–335. [Google Scholar] [CrossRef]
- Narro, J.; Diaz, R. A New Tool for Automation of Focused Ion Beam Bitmap Milling of Two-and Three-Dimensional Micro and Nanostructures. Microsc. Microanal. 2022, 28, 88–90. [Google Scholar] [CrossRef]
- Rosa, L.; Sun, K.; Mizeikis, V.; Bauerdick, S.; Peto, L.; Juodkazis, S. 3D-Tailored Gold Nanoparticles for Light Field Enhancement and Harvesting over Visible-IR Spectral Range. J. Phys. Chem. C 2011, 115, 5251–5256. [Google Scholar] [CrossRef]
- Stanishevsky, A. Focused ion beam patterning of diamondlike carbon films. Diam. Relat. Mater. 1999, 8, 1246–1250. [Google Scholar] [CrossRef]
- Mosberg, A.B.; Ren, D.; Ahtapodov, L.; Weman, H.; Fimland, B.-O.; van Helvoort, A.T.J. Focused ion beam lithography for position-controlled nanowire growth. Nanotechnology 2023, 34, 335301. [Google Scholar] [CrossRef] [PubMed]
- Ansari, K.; van Kan, J.A.; Bettiol, A.A.; Watt, F. Fabrication of high aspect ratio 100nm metallic stamps for nanoimprint lithography using proton beam writing. Appl. Phys. Lett. 2004, 85, 476–478. [Google Scholar] [CrossRef]
- van Kan, J.A.; Bettiol, A.A.; Watt, F. Three-dimensional nanolithography using proton beam writing. Appl. Phys. Lett. 2003, 83, 1629–1631. [Google Scholar] [CrossRef]
- Watt, F.; Breese, M.B.H.; Bettiol, A.A.; van Kan, J.A. Proton beam writing. Mater. Today 2007, 10, 20–29. [Google Scholar] [CrossRef]
- van Kan, J.A.; Zhang, F.; Chiam, S.Y.; Osipowicz, T.; Bettiol, A.A.; Watt, F. Proton beam writing: A platform technology for nanowire production. Microsyst. Technol. 2008, 14, 1343–1348. [Google Scholar] [CrossRef]
- Van Kan, J.A.; Bettiol, A.A.; Ansari, K.; Teo, E.J.; Sum, T.; Watt, F. Proton beam writing: A progress review. Int. J. Nanotechnol. 2004, 1, 464–479. [Google Scholar] [CrossRef]
- Liu, N.; Xu, X.; Pang, R.; Santhana Raman, P.; Khursheed, A.; van Kan, J.A. Brightness measurement of an electron impact gas ion source for proton beam writing applications. Rev. Sci. Instrum. 2015, 87. [Google Scholar] [CrossRef]
- Teo, E.J.; Breese, M.B.H.; Mangaiyarkarasi, D.; Bettiol, A.A.; Champeaux, F.; Watt, F.; Blackwood, D.J. Proton beam writing in silicon. In Proceedings of the IAEA: International Atomic Energy Agency (IAEA), Vienna, Austria, 5–17 November 2006. [Google Scholar]
- Tseng, A.A. Recent developments in nanofabrication using ion projection lithography. Small 2005, 1, 594–608. [Google Scholar] [CrossRef]
- Chen, Q.; Shao, T.; Xing, Y. An Experiment-Based Profile Function for the Calculation of Damage Distribution in Bulk Silicon Induced by a Helium Focused Ion Beam Process. Sensors 2020, 20, 2306. [Google Scholar] [CrossRef] [PubMed]
- Heuberger, A.; Bruenger, W. Ion Projection Lithography for Nano Patterning. MRS Proc. 1999, 584, 3. [Google Scholar] [CrossRef]
- Bruenger, W.H.; Kaesmaier, R.; Loeschner, H.; Springer, R. Status of Ion Projection Lithography. MRS Proc. 2000, 636, D5.5.1. [Google Scholar] [CrossRef]
- Kalbitzer, S.; Knoblauch, A. High-brightness source for ion and electron beams (invited). Rev. Sci. Instrum. 1998, 69, 1026–1031. [Google Scholar] [CrossRef]
- Smith, N.S.; Skoczylas, W.P.; Kellogg, S.M.; Kinion, D.E.; Tesch, P.P.; Sutherland, O.; Aanesland, A.; Boswell, R.W. High brightness inductively coupled plasma source for high current focused ion beam applications. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2006, 24, 2902–2906. [Google Scholar] [CrossRef]
- Lee, Y.; Gough, R.A.; Kunkel, W.B.; Leung, K.N.; Vujic, J.; Williams, M.D.; Wutte, D.; Zahir, N. Multicusp sources for ion beam projection lithography. Rev. Sci. Instrum. 1998, 69, 877–879. [Google Scholar] [CrossRef]
- Guharay, S.K.; Wang, W.; Dudnikov, V.G.; Reiser, M.; Orloff, J.; Melngailis, J. High-brightness ion source for ion projection lithography. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 1996, 14, 3907–3910. [Google Scholar] [CrossRef]
- Komuro, M.; Atoda, N.; Kawakatsu, H. Ion Beam Exposure of Resist Materials. J. Electrochem. Soc. 1979, 126, 483. [Google Scholar] [CrossRef]
- Shi, X.; Prewett, P.; Huq, E.; Bagnall, D.M.; Boden, S. A Quantitative Comparison Between Helium Ion and Electron Beam Lithography on PMMA Resist. 2016. Available online: https://eprints.soton.ac.uk/408123/ (accessed on 20 January 2025).
- Sidorkin, V.; van Veldhoven, E.; van der Drift, E.; Alkemade, P.; Salemink, H.; Maas, D. Sub-10-nm nanolithography with a scanning helium beam. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2009, 27, L18–L20. [Google Scholar] [CrossRef]
- Feixiang, L.; Viacheslav, M.; Mengjun, L.; Gavin, M.; Boris, Y.; Torgny, G.; David, J.; Eric, G. Helium ion beam lithography (HIBL) using HafSOx as the resist. In Advances in Patterning Materials and Processes XXXIII; SPIE: Bellingham, WA, USA, 2016; p. 977928. [Google Scholar]
- Cattoni, A.; Mailly, D.; Dalstein, O.; Faustini, M.; Seniutinas, G.; Rösner, B.; David, C. Sub-10nm electron and helium ion beam lithography using a recently developed alumina resist. Microelectron. Eng. 2018, 193, 18–22. [Google Scholar] [CrossRef]
- Ravi Kiran, N.; Chauhan, M.; Sharma, S.K.; Ghosh, S.; Gonsalves, K.E. Resists for Helium Ion Beam Lithography: Recent Advances. ACS Appl. Electron. Mater. 2020, 2, 3805–3817. [Google Scholar] [CrossRef]
- van Kan, J.A.; Malar, P.; Wang, Y.H. Resist materials for proton beam writing: A review. Appl. Surf. Sci. 2014, 310, 100–111. [Google Scholar] [CrossRef]
- Sakai, T.; Yasuda, R.; Iikura, H.; Nojima, T.; Koka, M.; Satoh, T.; Ishii, Y.; Oshima, A. Fabrication of fine imaging devices using an external proton microbeam. Nucl. Instrum. Methods Phys. Res. Sect. B Beam Interact. Mater. At. 2014, 332, 238–241. [Google Scholar] [CrossRef]
- Bolhuis, S.; van Kan, J.A.; Watt, F. Enhancement of proton beam writing in PMMA through optimization of the development procedure. Nucl. Instrum. Methods Phys. Res. Sect. B Beam Interact. Mater. At. 2009, 267, 2302–2305. [Google Scholar] [CrossRef]
- Cutroneo, M.; Havranek, V.; Mackova, A.; Semian, V.; Torrisi, L.; Calcagno, L. Micro-patterns fabrication using focused proton beam lithography. Nucl. Instrum. Methods Phys. Res. Sect. B Beam Interact. Mater. At. 2016, 371, 344–349. [Google Scholar] [CrossRef]
- Van Erps, J.; Vervaeke, M.; Ottevaere, H.; Hermanne, A.; Thienpont, H. Deep Proton Writing for the rapid prototyping of polymer micro-components for optical interconnects and optofluidics. Nucl. Instrum. Methods Phys. Res. Sect. B Beam Interact. Mater. At. 2013, 307, 243–247. [Google Scholar] [CrossRef]
- van Kan, J.A.; Sanchez, J.L.; Xu, B.; Osipowicz, T.; Watt, F. Resist materials for proton micromachining. Nucl. Instrum. Methods Phys. Res. Sect. B Beam Interact. Mater. At. 1999, 158, 179–184. [Google Scholar] [CrossRef]
- Kumar, V.; Maan, A.S.; Akhtar, J. Electronic transport in epitaxial 4H–SiC based Schottky diodes modified selectively by swift heavy ions. Mater. Sci. Semicond. Process. 2020, 115, 105108. [Google Scholar] [CrossRef]
- Wang, Y.H.; Malar, P.; van Kan, J.A. Resist evaluation for proton beam writing, Ni mold fabrication and nano-replication. Microsyst. Technol. 2014, 20, 2079–2088. [Google Scholar] [CrossRef]
- Luo, C.; Xu, C.; Lv, L.; Li, H.; Huang, X.; Liu, W. Review of recent advances in inorganic photoresists. RSC Adv. 2020, 10, 8385–8395. [Google Scholar] [CrossRef]
- Sossna, E.; Kassing, R.; Rangelow, I.W.; Herzinger, C.M.; Tiwald, T.E.; Woollam, J.A.; Wagner, T. Thickness analysis of silicon membranes for stencil masks. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2000, 18, 3259–3263. [Google Scholar] [CrossRef]
- Lan, H.; Ding, Y.; Liu, H.; Lu, B. Review of the wafer stage for nanoimprint lithography. Microelectron. Eng. 2007, 84, 684–688. [Google Scholar] [CrossRef]
- Chen, Y. Applications of nanoimprint lithography/hot embossing: A review. Appl. Phys. A 2015, 121, 451–465. [Google Scholar] [CrossRef]
- Raza, A.; Saeed, Z.; Aslam, A.; Nizami, S.M.; Habib, K.; Malik, A.N. Advances, Application and Challenges of Lithography Techniques. In Proceedings of the 2024 5th International Conference on Advancements in Computational Sciences (ICACS), Lahore, Pakistan, 19–20 February 2024; pp. 1–6. [Google Scholar]
- Schift, H. Nanoimprint lithography: 2D or not 2D? A review. Appl. Phys. A 2015, 121, 415–435. [Google Scholar] [CrossRef]
- Traub, M.C.; Longsine, W.; Truskett, V.N. Advances in Nanoimprint Lithography. Annu. Rev. Chem. Biomol. Eng. 2016, 7, 583–604. [Google Scholar] [CrossRef] [PubMed]
- Unno, N.; Mäkelä, T. Thermal Nanoimprint Lithography—A Review of the Process, Mold Fabrication, and Material. Nanomaterials 2023, 13, 2031. [Google Scholar] [CrossRef]
- Kumar, V.; Pawar, S.; Maan, A.S.; Akhtar, J. Diameter dependent thermal sensitivity variation trend in Ni/4H-SiC Schottky diode temperature sensors. J. Vac. Sci. Technol. B 2015, 33, 052207. [Google Scholar] [CrossRef]
- Chou, S.Y.; Krauss, P.R.; Renstrom, P.J. Imprint of sub-25 nm vias and trenches in polymers. Appl. Phys. Lett. 1995, 67, 3114–3116. [Google Scholar] [CrossRef]
- Lan, H.; Liu, H. UV-Nanoimprint Lithography: Structure, Materials and Fabrication of Flexible Molds. J. Nanosci. Nanotechnol. 2013, 13, 3145–3172. [Google Scholar] [CrossRef]
- Francone, A. Materials and Anti-Adhesive Issues in UV-NIL; Institut National Polytechnique de Grenoble—INPG: Saint-Martin-d'Hères, French, 2010. [Google Scholar]
- He, X.; Zang, L.; Xin, Y.; Zou, Y. An overview of photopolymerization and its diverse applications. Appl. Res. 2023, 2, e202300030. [Google Scholar] [CrossRef]
- Haslinger, M.J.; Mitteramskogler, T.; Kopp, S.; Leichtfried, H.; Messerschmidt, M.; Thesen, M.W.; Mühlberger, M. Development of a soft UV-NIL step & repeat and lift-off process chain for high speed metal nanomesh fabrication. Nanotechnology 2020, 31, 345301. [Google Scholar] [CrossRef] [PubMed]
- Gates, B.D.; Xu, Q.; Stewart, M.; Ryan, D.; Willson, C.G.; Whitesides, G.M. New Approaches to Nanofabrication: Molding, Printing, and Other Techniques. Chem. Rev. 2005, 105, 1171–1196. [Google Scholar] [CrossRef]
- Mohamed, K.; Alkaisi, M.M.; Blaikie, R.J. A Three-Dimensional Ultraviolet Curable Nanoimprint Lithography (3D UV-NIL). AIP Conf. Proc. 2009, 1151, 114–117. [Google Scholar] [CrossRef]
- Isobe, G.; Kanno, I.; Kotera, H.; Yokokawa, R. Perfusable multi-scale channels fabricated by integration of nanoimprint lighography (NIL) and UV lithography (UVL). Microelectron. Eng. 2012, 98, 58–63. [Google Scholar] [CrossRef]
- Kooy, N.; Mohamed, K.; Pin, L.T.; Guan, O.S. A review of roll-to-roll nanoimprint lithography. Nanoscale Res. Lett. 2014, 9, 320. [Google Scholar] [CrossRef] [PubMed]
- Maury, P.; Turkenburg, D.; Stroeks, N.; Giesen, P.; Barbu, I.; Meinders, E.; van Bremen, A.; Iosad, N.; van der Werf, R.; Onvlee, H. Roll-to-roll UV imprint lithography for flexible electronics. Microelectron. Eng. 2011, 88, 2052–2055. [Google Scholar] [CrossRef]
- Yi, P.; Wu, H.; Zhang, C.; Peng, L.; Lai, X. Roll-to-roll UV imprinting lithography for micro/nanostructures. J. Vac. Sci. Technol. B 2015, 33. [Google Scholar] [CrossRef]
- Kim, G.E.; Kim, H.; Woo, K.; Kang, Y.; Lee, S.-H.; Jeon, Y.; Lee, M.G.; Kwon, S. Uniform Pressing Mechanism in Large-Area Roll-to-Roll Nanoimprint Lithography Process. Appl. Sci. 2021, 11, 9571. [Google Scholar] [CrossRef]
- Maize, K.; Mi, Y.; Cakmak, M.; Shakouri, A. Real-Time Metrology for Roll-To-Roll and Advanced Inline Manufacturing: A Review. Adv. Mater. Technol. 2023, 8, 2200173. [Google Scholar] [CrossRef]
- Jambhapuram, M.; Good, J.K.; Azoug, A. Impact of winding on nanoimprinted surfaces in roll-to-roll nanoimprint lithography. Polym. Eng. Sci. 2022, 62, 2657–2667. [Google Scholar] [CrossRef]
- Groh, B.; Lee, K.; Cullinan, M.; Chang, C. Development of Joint Manufacturing and In-Line Metrology System for the Patterning of 3D Holographic Structures in Roll-to-Roll Processes; TMS: Pittsburgh, PA, USA, 2024. [Google Scholar]
- Milenko, K.; Dullo, F.T.; Thrane, P.C.V.; Skokic, Z.; Dirdal, C.A. UV-Nanoimprint Lithography for Predefined SERS Nanopatterns Which Are Reproducible at Low Cost and High Throughput. Nanomaterials 2023, 13, 1598. [Google Scholar] [CrossRef]
- Chou, S.Y.; Krauss, P.R.; Zhang, W.; Guo, L.; Zhuang, L. Sub-10 nm imprint lithography and applications. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 1997, 15, 2897–2904. [Google Scholar] [CrossRef]
- Austin, M.D.; Ge, H.; Wu, W.; Li, M.; Yu, Z.; Wasserman, D.; Lyon, S.A.; Chou, S.Y. Fabrication of 5nm linewidth and 14nm pitch features by nanoimprint lithography. Appl. Phys. Lett. 2004, 84, 5299–5301. [Google Scholar] [CrossRef]
- Cherala, A.; Pandya, P.N.; Liechti, K.M.; Sreenivasan, S.V. Extending the resolution limits of nanoshape imprint lithography using molecular dynamics of polymer crosslinking. Microsyst. Nanoeng. 2021, 7, 13. [Google Scholar] [CrossRef] [PubMed]
- Lohse, M.; Thesen, M.W.; Haase, A.; Smolka, M.; Iceta, N.B.; Ayerdi Izquierdo, A.; Ramos, I.; Salado, C.; Schleunitz, A. Novel Concept of Micro Patterned Micro Titer Plates Fabricated via UV-NIL for Automated Neuronal Cell Assay Read-Out. Nanomaterials 2021, 11, 902. [Google Scholar] [CrossRef]
- Guo, L.J. Nanoimprint Lithography: Methods and Material Requirements. Adv. Mater. 2007, 19, 495–513. [Google Scholar] [CrossRef]
- Klement, W.; Willens, R.H.; Duwez, P.O.L. Non-crystalline Structure in Solidified Gold–Silicon Alloys. Nature 1960, 187, 869–870. [Google Scholar] [CrossRef]
- Pfeiffer, K.; Reuther, F.; Fink, M.; Gruetzner, G.; Carlberg, P.; Maximov, I.; Montelius, L.; Seekamp, J.; Zankovych, S.; Sotomayor-Torres, C.M.; et al. A comparison of thermally and photochemically cross-linked polymers for nanoimprinting. Microelectron. Eng. 2003, 67–68, 266–273. [Google Scholar] [CrossRef]
- Clavijo Cedeño, C.; Seekamp, J.; Kam, A.P.; Hoffmann, T.; Zankovych, S.; Sotomayor Torres, C.M.; Menozzi, C.; Cavallini, M.; Murgia, M.; Ruani, G.; et al. Nanoimprint lithography for organic electronics. Microelectron. Eng. 2002, 61–62, 25–31. [Google Scholar] [CrossRef]
- Choi, P.; Fu, P.F.; Guo, L.J. Siloxane Copolymers for Nanoimprint Lithography. Adv. Funct. Mater. 2007, 17, 65–70. [Google Scholar] [CrossRef]
- Cheng, X.; Guo, L.J.; Fu, P.F. Room-Temperature, Low-Pressure Nanoimprinting Based on Cationic Photopolymerization of Novel Epoxysilicone Monomers. Adv. Mater. 2005, 17, 1419–1424. [Google Scholar] [CrossRef] [PubMed]
- Kumar, G.; Tang, H.X.; Schroers, J. Nanomoulding with amorphous metals. Nature 2009, 457, 868–872. [Google Scholar] [CrossRef]
- Yoshimoto, M. Sub-nanoscale nanoimprint fabrication of atomically stepped glassy substrates of silicate glass and acryl polymer. Appl. Phys. A 2015, 121, 321–326. [Google Scholar] [CrossRef]
- Cox, L.M.; Martinez, A.M.; Blevins, A.K.; Sowan, N.; Ding, Y.; Bowman, C.N. Nanoimprint lithography: Emergent materials and methods of actuation. Nano Today 2020, 31, 100838. [Google Scholar] [CrossRef]
- Huang, Z.; Geyer, N.; Werner, P.; de Boor, J.; Gösele, U. Metal-Assisted Chemical Etching of Silicon: A Review. Adv. Mater. 2011, 23, 285–308. [Google Scholar] [CrossRef]
- Hsu, K.H.; Schultz, P.L.; Ferreira, P.M.; Fang, N.X. Electrochemical Nanoimprinting with Solid-State Superionic Stamps. Nano Lett. 2007, 7, 446–451. [Google Scholar] [CrossRef]
- Sharstniou, A.; Niauzorau, S.; Ferreira, P.M.; Azeredo, B.P. Electrochemical nanoimprinting of silicon. Proc. Natl. Acad. Sci. USA 2019, 116, 10264–10269. [Google Scholar] [CrossRef]
- Ryckman, J.D.; Jiao, Y.; Weiss, S.M. Three-dimensional patterning and morphological control of porous nanomaterials by gray-scale direct imprinting. Sci. Rep. 2013, 3, 1502. [Google Scholar] [CrossRef] [PubMed]
- Muanchan, P.; Suzuki, S.; Kyotani, T.; Ito, H. One-dimensional polymer nanofiber arrays with high aspect ratio obtained by thermal nanoimprint method. Polym. Eng. Sci. 2017, 57, 214–223. [Google Scholar] [CrossRef]
- Gourgon, C.; Philippot, G.; Labau, S.; Tortai, J.H.; Benwadih, M.; Bablet, J. Influence of PEN thermal properties on flexible film patterned by nanoimprint lithography. Microelectron. Eng. 2011, 88, 1959–1963. [Google Scholar] [CrossRef]
- Masciullo, C.; Sonato, A.; Romanato, F.; Cecchini, M. Perfluoropolyether (PFPE) Intermediate Molds for High-Resolution Thermal Nanoimprint Lithography. Nanomaterials 2018, 8, 609. [Google Scholar] [CrossRef] [PubMed]
- Cecchini, M.; Signori, F.; Pingue, P.; Bronco, S.; Ciardelli, F.; Beltram, F. High-Resolution Poly(ethylene terephthalate) (PET) Hot Embossing at Low Temperature: Thermal, Mechanical, and Optical Analysis of Nanopatterned Films. Langmuir 2008, 24, 12581–12586. [Google Scholar] [CrossRef]
- Zanut, A.; Cian, A.; Cefarin, N.; Pozzato, A.; Tormen, M. Nanoelectrode Arrays Fabricated by Thermal Nanoimprint Lithography for Biosensing Application. Biosensors 2020, 10, 90. [Google Scholar] [CrossRef] [PubMed]
- Cui, B.; Cortot, Y.; Veres, T. Polyimide nanostructures fabricated by nanoimprint lithography and its applications. Microelectron. Eng. 2006, 83, 906–909. [Google Scholar] [CrossRef]
- Wu, C.C.; Hsu, S.L.C. High density patterns fabricated in low-viscosity thermal-curable epoxy system for thermal-nanoimprint lithography. In Proceedings of the 2007 Digest of papers Microprocesses and Nanotechnology, Kyoto, Japan, 5–8 November 2007; pp. 312–313. [Google Scholar]
- Kurose, T.; Shishido, H.; Ishigami, A.; Nemoto, A.; Ito, H. Fabrication of high-resolution conductive patterns on a thermally imprinted polyetherimide film by the capillary flow of conductive ink. Microsyst. Technol. 2020, 26, 1981–1986. [Google Scholar] [CrossRef]
- Greer, A.I.M.; Vasiev, I.; Della-Rosa, B.; Gadegaard, N. Fluorinated ethylene–propylene: A complementary alternative to PDMS for nanoimprint stamps. Nanotechnology 2016, 27, 155301. [Google Scholar] [CrossRef] [PubMed]
- Weiss, D.N.; Meyers, S.T.; Keszler, D.A. All-inorganic thermal nanoimprint process. J. Vac. Sci. Technol. B 2010, 28, 823–828. [Google Scholar] [CrossRef]
- Vig, A.L.; Mäkelä, T.; Majander, P.; Lambertini, V.; Ahopelto, J.; Kristensen, A. Roll-to-roll fabricated lab-on-a-chip devices. J. Micromechanics Microengineering 2011, 21, 035006. [Google Scholar] [CrossRef]
- Bhagoria, P.; Mathew Sebastian, E.; Kumar Jain, S.; Purohit, J.; Purohit, R. Nanolithography and its alternate techniques. Mater. Today Proc. 2020, 26, 3048–3053. [Google Scholar] [CrossRef]
- Kwon, B.; Kim, J.H. Importance of Molds for Nanoimprint Lithography: Hard, Soft, and Hybrid Molds. J. Nanosci. 2016, 2016, 6571297. [Google Scholar] [CrossRef]
- Zhao, M.; Xu, T.; Chen, B.; Niu, J. Technology of Alignment Mark in Electron Beam Lithography; SPIE: Bellingham, WA, USA, 2014; Volume 9285. [Google Scholar]
- McPhillimy, J.; Jevtics, D.; Guilhabert, B.J.E.; Klitis, C.; Hurtado, A.; Sorel, M.; Dawson, M.D.; Strain, M.J. Automated Nanoscale Absolute Accuracy Alignment System for Transfer Printing. ACS Appl. Nano Mater. 2020, 3, 10326–10332. [Google Scholar] [CrossRef] [PubMed]
- Jiang, L.; Feldman, M. Accurate Alignment Technique for Nanoimprint Lithography; SPIE: Bellingham, WA, USA, 2005; Volume 5752. [Google Scholar]
- Jiang, W.; Wang, H.; Xie, W.; Qu, Z. Lithography Alignment Techniques Based on Moiré Fringe. Photonics 2023, 10, 351. [Google Scholar] [CrossRef]
- Wu, Y.; Xiao, Z. The Recent Progress of Lithography Machine and the State-of-art Facilities. Highlights Sci. Eng. Technol. 2022, 5, 155–165. [Google Scholar] [CrossRef]
- Park, J.; Jeong, J. Improved MSRN-Based Attention Block for Mask Alignment Mark Detection in Photolithography. Appl. Sci. 2022, 12, 2721. [Google Scholar] [CrossRef]
- Nishi, Y.; Doering, R. Handbook of Semiconductor Manufacturing Technology; Taylor & Francis: Abingdon, UK, 2000. [Google Scholar]
- Adel, M.; Ghinovker, M.; Poplawski, J.; Kassel, E.; Izikson, P.; Pollentier, I.; Leray, P.; Laidler, D. Characterization of Overlay Mark Fidelity; SPIE: Bellingham, WA, USA, 2003; Volume 5038. [Google Scholar]
- Corno, F.; Prinetto, P.; Rebaudengo, M.; Reorda, M.S. Partial scan flip flop selection for simulation-based sequential ATPGs. In Proceedings of the International Test Conference 1996. Test and Design Validity, Washington, DC, USA, 20–25 October 1996; pp. 558–564. [Google Scholar]
- Xu, F.; Ding, Y.; Chen, W.; Xia, H. An Improved Algorithm to Extract Moiré Fringe Phase for Wafer-Mask Alignment in Nanoimprint Lithography. Micromachines 2024, 15, 1408. [Google Scholar] [CrossRef]
- Whang, A.J.W.; Gallagher, N.C. Synthetic approach to designing optical alignment systems. Appl. Opt. 1988, 27, 3534–3541. [Google Scholar] [CrossRef]
- Tan, C.-B.; Yeo, S.-H.; Koh, H.P.; Koo, C.; Foong, Y.; Siew, Y. Evaluation of Alignment Marks Using ASML ATHENA Alignment System in 90-nm BEOL Process; SPIE: Bellingham, WA, USA, 2003; Volume 5038. [Google Scholar]
- Nitayama, A.; Sato, T.; Hashimoto, K.; Shigemitsu, F.; Nakase, M. New phase shifting mask with self-aligned phase shifters for a quarter micron photolithography. In Proceedings of the International Technical Digest on Electron Devices Meeting, Washington, DC, USA, 3–6 December 1989; pp. 57–60. [Google Scholar]
- Pal, P.; Kim, Y.-J.; Chandra, S. Front-to-Back Alignment Techniques in Microelectronics/MEMS Fabrication: A Review. Sens. Lett. 2006, 4, 1–10. [Google Scholar] [CrossRef]
- Menon, R.; Patel, A.; Gil, D.; Smith, H.I. Maskless lithography. Mater. Today 2005, 8, 26–33. [Google Scholar] [CrossRef]
- Suzuki, K.; Matsui, S.; Ochiai, Y. Sub-Half-Micron Lithography for ULSIs; Cambridge University Press: Cambridge, UK, 2000. [Google Scholar]
- Franssila, S. Introduction to Microfabrication; Wiley: Hoboken, NJ, USA, 2005. [Google Scholar]
- Smith, B.W.; Suzuki, K. Microlithography: Science and Technology, 2nd ed.; CRC Press: Boca Raton, FL, USA, 2018. [Google Scholar]
- Reed, I. Lithography Essentials: Mastering Materials and Tools for Stunning Prints; Marcelo Marins Rodrigues. Available online: https://www.google.com/books/edition/Lithography_Essentials/jzM0EQAAQBAJ?hl=en (accessed on 20 January 2025).
- Zinsmeister, G. Glass and Quartz Substrates for Photomask Fabrication; SPIE: Bellingham, WA, USA, 2023; Volume 12806. [Google Scholar]
- Noll, H.; Johnson, P. The Performance of Chrome Material with Use; SPIE: Bellingham, WA, USA, 2023; Volume 12806. [Google Scholar]
- Pierrat; Wong; Vaidya. Phase-shifting mask topography effects on lithographic image quality. In Proceedings of the 1992 International Technical Digest on Electron Devices Meeting, San Francisco, CA, USA, 13–16 December 1992; pp. 53–56. [Google Scholar]
- Shigetomi, A.; Matsuda, S.; Watakabe, Y.; Kato, T. High-performance VLSI photomask with a molybdenum silicide film. Microelectron. Eng. 1991, 14, 73–86. [Google Scholar] [CrossRef]
- Rizvi, S. Handbook of Photomask Manufacturing Technology; CRC Press: Boca Raton, FL, USA, 2018. [Google Scholar]
- Liebmann, L. Resolution Enhancement Techniques in Optical lithography: It’s Not Just a Mask Problem; SPIE: Bellingham, WA, USA, 2001; Volume 4409. [Google Scholar]
- Fang, Y.; He, Y. Resolution technology of lithography machine. J. Phys. Conf. Ser. 2022, 2221, 012041. [Google Scholar] [CrossRef]
- Cui, Z. (Ed.) Optical Lithography. In Nanofabrication: Principles, Capabilities and Limits; Springer International Publishing: Cham, Switzerland, 2024; pp. 9–81. [Google Scholar]
- Lawrence, S.M.; Rik, J. Contribution of mask defectivity in stochastics of EUVL-based wafer printing. J. Micro/Nanopatterning Mater. Metrol. 2021, 20, 021003. [Google Scholar] [CrossRef]
- Liebmann, L. Mask Challenges in High-Resolution Lithography; SPIE: Bellingham, WA, USA, 2017; Volume 10321. [Google Scholar]
- Neisser, M.; Wurm, S. ITRS lithography roadmap: 2015 challenges. Adv. Opt. Technol. 2015, 4, 235–240. [Google Scholar] [CrossRef]
- Ji, Y.; Wu, X.; Han, X.; Xie, W.; Ma, X. Phase defect detection algorithm for extreme ultraviolet mask blank based on watershed edge detection. In Proceedings of the 2021 International Workshop on Advanced Patterning Solutions (IWAPS), Foshan, China, 12–13 December 2021; pp. 1–4. [Google Scholar]
- Mao, D.; Liu, L.; Zhang, C.; Liu, H.; Mao, C. Molecular Lithography on Silicon Wafers Guided by Porous, Extended Arrays of Small DNA Tiles. Langmuir 2023, 39, 11782–11787. [Google Scholar] [CrossRef] [PubMed]
- Takemoto, I.; Ando, N.; Edamatsu, K.; Lee, Y.; Takashima, M.; Yokoyama, H. Molecular Resists for EUV and EB Lithography; SPIE: Bellingham, WA, USA, 2008; Volume 6923. [Google Scholar]
- Frommhold, A.; Yang, D.; McClelland, A.; Roth, J.; Xue, X.; Rosamund, M.C.; Linfield, E.H.; Robinson, A.P.G. Novel Molecular Resist for EUV and Electron Beam Lithography. J. Photopolym. Sci. Technol. 2015, 28, 537–540. [Google Scholar] [CrossRef]
- Brainard, R.L.; Barclay, G.G.; Anderson, E.H.; Ocola, L.E. Resists for next generation lithography. Microelectron. Eng. 2002, 61–62, 707–715. [Google Scholar] [CrossRef]
- Wang, Y.; Yuan, J.; Chen, J.; Zeng, Y.; Yu, T.; Guo, X.; Wang, S.; Yang, G.; Li, Y. A Single-Component Molecular Glass Resist Based on Tetraphenylsilane Derivatives for Electron Beam Lithography. ACS Omega 2023, 8, 12173–12182. [Google Scholar] [CrossRef]
- Wang, Y.; Chen, J.; Zeng, Y.; Yu, T.; Wang, S.; Guo, X.; Hu, R.; Tian, P.; Vockenhuber, M.; Kazazis, D.; et al. Nonchemically Amplified Molecular Resists Based on Sulfonium-Functionalized Sulfone Derivatives for Sub-13 nm Nanolithography. ACS Appl. Nano Mater. 2023, 6, 18480–18490. [Google Scholar] [CrossRef]
- Kim, J.-B.; Ganesan, R.; Choi, J.-H.; Yun, H.-J.; Kwon, Y.-G.; Kim, K.-S.; Oh, T.-H. Photobleachable silicon-containing molecular resist for deep UV lithography. J. Mater. Chem. 2006, 16, 3448–3451. [Google Scholar] [CrossRef]
- Sanjay; Kumar, V.; Vohra, A. DC and Analog/RF Performance Evaluation Using Dual Metal Gate Work Function Engineering of Junctionless Cylindrical Gate All Around Si Nanowire MOSFET Using NEGF Approach for Upcoming Sub 5 nm Technology Node. Int. J. Precis. Eng. Manuf. 2024, 25, 1885–1897. [Google Scholar] [CrossRef]
- Yang, D.X.; Frommhold, A.; Xue, X.; Palmer, R.E.; Robinson, A.P.G. Chemically amplified phenolic fullerene electron beam resist. J. Mater. Chem. C 2014, 2, 1505–1512. [Google Scholar] [CrossRef]
- Manyam, J. Novel Resist Materials for next Generation Lithography; University of Birmingham: Birmingham, UK, 2011. [Google Scholar]
- Kumar, V.; Maan, A.S.; Akhtar, J. Barrier height inhomogeneities induced anomaly in thermal sensitivity of Ni/4H-SiC Schottky diode temperature sensor. J. Vac. Sci. Technol. B 2014, 32, 041203. [Google Scholar] [CrossRef]
- Lawson, R.A. Molecular Resists for Advanced Lithography-Design, Synthesis, Characterization, and Simulation; Georgia Institute of Technology: Atlanta, GA, USA, 2011. [Google Scholar]
- Cen, J.; Deng, Z.; Liu, S. Emerging trends in the chemistry of polymeric resists for extreme ultraviolet lithography. Polym. Chem. 2024, 15, 4599–4614. [Google Scholar] [CrossRef]
- Salaita, K.S.; Lee, S.W.; Ginger, D.S.; Mirkin, C.A. DPN-Generated Nanostructures as Positive Resists for Preparing Lithographic Masters or Hole Arrays. Nano Lett. 2006, 6, 2493–2498. [Google Scholar] [CrossRef] [PubMed]
- Eichelsdoerfer, D.J.; Liao, X.; Cabezas, M.D.; Morris, W.; Radha, B.; Brown, K.A.; Giam, L.R.; Braunschweig, A.B.; Mirkin, C.A. Large-area molecular patterning with polymer pen lithography. Nat. Protoc. 2013, 8, 2548–2560. [Google Scholar] [CrossRef] [PubMed]
- Nealey, P.F.; Edwards, E.W.; Muller, M.; Stoykovich, M.P.; Solak, H.H.; Pablo, J.J.d. Self-assembling resists for nanolithography. In Proceedings of the IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest, Washington, DC, USA, 5 December 2005; pp. 4–359. [Google Scholar]
- Chang, S.; Wang, X.; Hu, Q.; Sun, X.; Wang, A.; Dong, X.; Zhang, Y.; Shi, L.; Sun, Q. Self-Assembled Nanocomposites and Nanostructures for Environmental and Energy Applications. Crystals 2022, 12, 274. [Google Scholar] [CrossRef]
- Brainard, R.L.; Bakshi, V. Photoresists for extreme ultraviolet lithography. EUV Lithogr. 2009, 178, 383. [Google Scholar]
- Sakamoto, R.; Fujitani, N.; Onishi, R.; Nishita, T. The Novel Solution for Negative Impact of Out-of-band and Outgassing by Top Coat Materials(OBPL) in EUVL. J. Photopolym. Sci. Technol. 2013, 26, 685–689. [Google Scholar] [CrossRef]
- Liu, J.; Wang, D.; Li, Y.; Wang, H.; Chen, H.; Wang, Q.; Kang, W. Exceptional Lithography Sensitivity Boosted by Hexafluoroisopropanols in Photoresists. Polymers 2024, 16, 825. [Google Scholar] [CrossRef]
- Kang, Y.K.; Lee, S.J.; Eom, S.; Kim, B.G.; Hwang, C.-C.; Kim, M.-G. Recent progress of inorganic photoresists for next-generation EUV lithography. J. Mater. Chem. C 2024, 12, 15855–15887. [Google Scholar] [CrossRef]
- Jiang, J.; Giordano, G.; Fallica, R.; DeSimone, D.; Vandenberghe, G. Sensitizer for EUV Chemically Amplified Resist: Metal versus Halogen. J. Photopolym. Sci. Technol. 2019, 32, 21–25. [Google Scholar] [CrossRef]
- Yannick, V.; Jing, J.; Hiroki, Y.; Danilo De, S.; Takahiro, K.; Stefan De, G.; Geert, V. Sensitizers in extreme ultraviolet chemically amplified resists: Mechanism of sensitivity improvement. J. Micro/Nanolithography MEMS MOEMS 2018, 17, 043506. [Google Scholar] [CrossRef]
- Kudo, H.; Nina, N.; Sato, T.; Oizumi, H.; Itani, T.; Miura, T.; Watanabe, T.; Kinoshita, H. Extreme Ultraviolet (EUV)-Resist Material Based on Noria (Water Wheel-like Macrocycle ) Derivatives with Pendant Alkoxyl and Adamantyl Ester Groups. J. Photopolym. Sci. Technol. 2012, 25, 587–592. [Google Scholar] [CrossRef]
- Prashant, K.K.; Ken, M.; Sara, K.; Scott, D.; Pradeep, P.; James, B.; Deirdre, O.; Paul, D.A. Sub-20nm lithography negative tone chemically amplified resists using cross-linker additives. In Advances in Resist Materials and Processing Technology; SPIE: Bellingham, WA, USA, 2013; p. 94250S. [Google Scholar]
- Green, D.P.; Vipul, J.; Brad, B.; Mike, W.; Michael, C.; David, V.; Steve, L. Development of molecular resist derivatives for EUV lithography. In Extreme Ultraviolet (EUV) Lithography IV; SPIE: Bellingham, WA, USA, 2013; p. 867912. [Google Scholar]
- Popescu, C.; Callaghan, G.O.; McClelland, A.; Roth, J.; Lada, T.; Robinson, A.P.G. Performance enhancements with high opacity multi-trigger resist. In Advances in Patterning Materials and Processes XXXVII; SPIE: Bellingham, WA, USA, 2020; p. 1132611. [Google Scholar]
- Vasiliki, K.; Kazuki, K.; Hong, X.; Jérémy, O.; Christopher, K.O.; Emmanuel, P.G. Elucidating the patterning mechanism of zirconium-based hybrid photoresists. J. Micro/Nanolithography MEMS MOEMS 2017, 16, 041007. [Google Scholar] [CrossRef]
- Andrew, G.; Jeremy, T.A.; Benjamin, L.C.; Peter De, S.; Joseph, E.; Michael, G.; Kai, J.; Michael, K.; Stephen, T.M.; Jason, K.S.; et al. Integrated fab process for metal oxide EUV photoresist. In Advances in Patterning Materials and Processes XXXII; SPIE: Bellingham, WA, USA, 2015; p. 94250S. [Google Scholar]
- Zhang, Y.; Haitjema, J.; Baljozovic, M.; Vockenhuber, M.; Kazazis, D.; Jung, T.A.; Ekinci, Y.; Brouwer, A.M. Dual-tone Application of a Tin-Oxo Cage Photoresist Under E-beam and EUV Exposure. J. Photopolym. Sci. Technol. 2018, 31, 249–255. [Google Scholar] [CrossRef]
- Thomas, G.; Nguyen Dang, L.; Markus, L.; Kimmo, K.; Oskari, K.; Emilia, K.; Dimitrios, K.; Yasin, E.; Juha, R. Advanced EUV negative tone resist and underlayer approaches exhibiting sub-20nm half-pitch resolution. In Advances in Patterning Materials and Processes XXXVI; SPIE: Bellingham, WA, USA, 2019; p. 109600B. [Google Scholar]
- Li, Z.; Yan, Y.; Wang, J.; Geng, Y. Molecular Dynamics Study on Tip-Based Nanomachining: A Review. Nanoscale Res. Lett. 2020, 15, 201. [Google Scholar] [CrossRef]
- Kim, T.-Y.; Kang, I.-H.; Park, J.; Kim, M.; Oh, H.-K.; Hur, S.-M. Coarse-Grained Modeling of EUV Patterning Process Reflecting Photochemical Reactions and Chain Conformations. Polymers 2023, 15, 1988. [Google Scholar] [CrossRef] [PubMed]
- Kumar, V.; Kaminski, N.; Maan, A.S.; Akhtar, J. Capacitance roll-off and frequency-dispersion capacitance–conductance phenomena in field plate and guard ring edge-terminated Ni/SiO2/4H-nSiC Schottky barrier diodes. Phys. Status Solidi 2016, 213, 193–202. [Google Scholar] [CrossRef]
- Park, J.; Lee, S.-G.; Vesters, Y.; Severi, J.; Kim, M.; De Simone, D.; Oh, H.-K.; Hur, S.-M. Molecular Modeling of EUV Photoresist Revealing the Effect of Chain Conformation on Line-Edge Roughness Formation. Polymers 2019, 11, 1923. [Google Scholar] [CrossRef]
- Hasan, R.M.M.; Politano, O.; Luo, X. ReaxFF molecular dynamics simulation study of nanoelectrode lithography oxidation process on silicon (100) surface. Appl. Surf. Sci. 2019, 496, 143679. [Google Scholar] [CrossRef]
- Shim, S.; Vella, J.R.; Draney, J.S.; Na, D.; Graves, D.B. An examination of the performance of molecular dynamics force fields: Silicon and silicon dioxide reactive ion etching. J. Vac. Sci. Technol. A 2024, 42, 023207. [Google Scholar] [CrossRef]
- Kim, D.H.; Kwak, S.J.; Jeong, J.H.; Yoo, S.; Nam, S.K.; Kim, Y.; Lee, W.B. Molecular Dynamics Simulation of Silicon Dioxide Etching by Hydrogen Fluoride Using the Reactive Force Field. ACS Omega 2021, 6, 16009–16015. [Google Scholar] [CrossRef] [PubMed]
- Martirosyan, V.; Despiau-Pujo, E.; Dubois, J.; Cunge, G.; Joubert, O. Helium plasma modification of Si and Si3N4 thin films for advanced etch processes. J. Vac. Sci. Technol. A 2018, 36, 041301. [Google Scholar] [CrossRef]
- Vella, J.R.; Hao, Q.; Donnelly, V.M.; Graves, D.B. Dynamics of plasma atomic layer etching: Molecular dynamics simulations and optical emission spectroscopy. J. Vac. Sci. Technol. A 2023, 41, 062602. [Google Scholar] [CrossRef]
- Bystrov, V.; Likhachev, I.; Filippov, S.; Paramonova, E. Molecular Dynamics Simulation of Self-Assembly Processes of Diphenylalanine Peptide Nanotubes and Determination of Their Chirality. Nanomaterials 2023, 13, 1905. [Google Scholar] [CrossRef]
- Zhou, Y.; Bore, S.L.; Tao, A.R.; Paesani, F.; Arya, G. Many-body potential for simulating the self-assembly of polymer-grafted nanoparticles in a polymer matrix. npj Comput. Mater. 2023, 9, 224. [Google Scholar] [CrossRef]
- Xu, L. Molecular dynamics simulation of self-assembly in anionic and zwitterionic surfactant systems. Anal. Chem. A J. 2023, 2, 64–73. [Google Scholar]
- Kong, D.; Meng, X.; Zhu, J.; Zhou, W. Molecular dynamics simulation of surfactant induced wettability alteration of shale reservoirs. Front. Energy Res. 2023, 11, 1272132. [Google Scholar] [CrossRef]
- Hanzawa, M.; Ogura, T.; Tsuchiya, K.; Akamatsu, M.; Sakai, K.; Sakai, H. Anti-adsorption Mechanism of Photoresist by Pluronic Surfactants: An Insight into Their Adsorbed Structure. Langmuir 2023, 39, 7876–7883. [Google Scholar] [CrossRef] [PubMed]
- Gerard, M.S.; Vivek, K.S.; Lewis, W.F.; Michael, D.S.; Sean, D.B.; Willson, C.G. Recent advances in a molecular level lithography simulation. In Advances in Resist Technology and Processing XVII; SPIE: Bellingham, WA, USA, 2000; pp. 675–685. [Google Scholar]
- Uchida, H.; Imoto, R.; Ando, T.; Okabe, T.; Taniguchi, J. Molecular Dynamics Simulation of the Resist Filling Process in UV-nanoimprint Lithography. J. Photopolym. Sci. Technol. 2021, 34, 139–144. [Google Scholar] [CrossRef]
- Zheng, T.; O’Neill, C.; Marshall, J.F.; Iskratsch, T.; Palma, M. Selective placement of functionalised DNA origami via thermal scanning probe lithography patterning. Mater. Adv. 2024, 5, 9376–9382. [Google Scholar] [CrossRef]
- Haggenmueller, S.; Matthies, M.; Sample, M.; Šulc, P. How we simulate DNA origami. arXiv 2024, arXiv:2409.13206. [Google Scholar]
- Liu, W.; Duan, H.; Zhang, D.; Zhang, X.; Luo, Q.; Xie, T.; Yan, H.; Peng, L.; Hu, Y.; Liang, L.; et al. Concepts and Application of DNA Origami and DNA Self-Assembly: A Systematic Review. Appl. Bionics Biomech. 2021, 2021, 9112407. [Google Scholar] [CrossRef]
- Martynenko, I.V.; Ruider, V.; Dass, M.; Liedl, T.; Nickels, P.C. DNA Origami Meets Bottom-Up Nanopatterning. ACS Nano 2021, 15, 10769–10774. [Google Scholar] [CrossRef]
- Rothemund, P.W.K. Folding DNA to create nanoscale shapes and patterns. Nature 2006, 440, 297–302. [Google Scholar] [CrossRef]
- Zhan, P.; Peil, A.; Jiang, Q.; Wang, D.; Mousavi, S.; Xiong, Q.; Shen, Q.; Shang, Y.; Ding, B.; Lin, C.; et al. Recent Advances in DNA Origami-Engineered Nanomaterials and Applications. Chem. Rev. 2023, 123, 3976–4050. [Google Scholar] [CrossRef] [PubMed]
- Tørring, T.; Voigt, N.V.; Nangreave, J.; Yan, H.; Gothelf, K.V. DNA origami: A quantum leap for self-assembly of complex structures. Chem. Soc. Rev. 2011, 40, 5636–5646. [Google Scholar] [CrossRef]
- Li, L.; Nie, S.; Du, T.; Zhao, J.; Chen, X. DNA origami technology for biomedical applications: Challenges and opportunities. MedComm. Biomater. Appl. 2023, 2, e37. [Google Scholar] [CrossRef]
- Li, N.; Shang, Y.; Han, Z.; Wang, T.; Wang, Z.-G.; Ding, B. Fabrication of Metal Nanostructures on DNA Templates. ACS Appl. Mater. Interfaces 2019, 11, 13835–13852. [Google Scholar] [CrossRef]
- Zhang, Y.; Chao, J.; Liu, H.; Wang, F.; Su, S.; Liu, B.; Zhang, L.; Shi, J.; Wang, L.; Huang, W.; et al. Transfer of Two-Dimensional Oligonucleotide Patterns onto Stereo controlled Plasmonic Nanostructures through DNA-Origami-Based Nanoimprinting Lithography. Angew. Chem. Int. Ed. 2016, 55, 8036–8040. [Google Scholar] [CrossRef]
- Liu, Y.; Zeng, T.; Liu, C.; Fang, X.; Li, S.; Cao, X.; Lu, C.; Yang, H. DNA Origami-Based Letterpress Printing of Gold Nanostructures with Predesigned Morphologies. Nano Lett. 2023, 23, 11569–11577. [Google Scholar] [CrossRef]
- Guo, M.; Qu, Z.; Min, F.; Li, Z.; Qiao, Y.; Song, Y. Advanced unconventional techniques for sub-100 nm nanopatterning. InfoMat 2022, 4, e12323. [Google Scholar] [CrossRef]
- Lang, E.N.; Claridge, S.A. Biomolecular templates for interfacial nanomaterial assembly. In Encyclopedia of Nanomaterials, 1st ed.; Yin, Y., Lu, Y., Xia, Y., Eds.; Elsevier: Oxford, UK, 2023; pp. 214–229. [Google Scholar]
- Koepnick, B.; Flatten, J.; Husain, T.; Ford, A.; Silva, D.-A.; Bick, M.J.; Bauer, A.; Liu, G.; Ishida, Y.; Boykov, A.; et al. De novo protein design by citizen scientists. Nature 2019, 570, 390–394. [Google Scholar] [CrossRef] [PubMed]
- Ji, Z.; Huo, H.; Duan, L.; Wang, S. Design of robust malate dehydrogenases by assembly of motifs of halophilic and thermophilic enzyme based on interaction network. Biochem. Eng. J. 2023, 190, 108758. [Google Scholar] [CrossRef]
- Wilson, C.J.; Bommarius, A.S.; Champion, J.A.; Chernoff, Y.O.; Lynn, D.G.; Paravastu, A.K.; Liang, C.; Hsieh, M.-C.; Heemstra, J.M. Biomolecular Assemblies: Moving from Observation to Predictive Design. Chem. Rev. 2018, 118, 11519–11574. [Google Scholar] [CrossRef]
- Petrescu, D.S.; Zahr, O.K.; Abu-Baker, I.; Blum, A.S. Biomolecular Self-Assembly of Nanorings on a Viral Protein Template. Biomacromolecules 2022, 23, 3407–3416. [Google Scholar] [CrossRef]
- Gao, S.; Liu, X.; Wang, Z.; Jiang, S.; Wu, M.; Tian, Y.; Niu, Z. Fluorous interaction induced self-assembly of tobacco mosaic virus coat protein for cisplatin delivery. Nanoscale 2018, 10, 11732–11736. [Google Scholar] [CrossRef]
- Deng, J.; Kaefer, F.; Bailey, S.; Otsubo, Y.; Meng, Z.; Segalman, R.; Ober, C.K. New Approaches to EUV Photoresists: Studies of Polyacetals and Polypeptoids to Expand the Photopolymer Toolbox. J. Photopolym. Sci. Technol. 2021, 34, 71–74. [Google Scholar]
- Huang, Z.; Li, S.; Zhang, J.; Pang, H.; Ivankin, A.; Wang, Y. Localized photoactuation of polymer pens for nanolithography. Molecules 2023, 28, 1171. [Google Scholar] [CrossRef]
Resist | Resist Type | Developer Solution | Sensitivity (µC/cm2) | Contrast | Resolution (nm) | Ref. |
---|---|---|---|---|---|---|
40XT | Positive | PEDOT: PSS (0% dilution for 5 s) | 8 | 8 ± 2 | 95 ± 10 | [88] |
40XT | Positive | PEDOT: PSS (33% dilution for 40 s) | 9 | 10 ± 0.5 | 85 ± 5 | [88] |
ZircSOx | Negative | TMAH for 4 min | 7.6 | 2.6 | <10 | [85] |
HafSOx | Negative | TMAH for 1.5 min | 21 | 2.5 | <10 | [85] |
ma-N 2400 | Negative | ma-D 532 | 60–160 | 1.7–2.8 | <30 | [89] |
AR-N 7700 | Negative | AR 300-46 | 8 | >5 | 40–100 | [89] |
P(HEMA-co-MAAEMA) | Negative | methanol | 0.5 | 1.2 | 100–200 | [89] |
Poly (GMA-co-MMA-co-TPSMA) | Negative | 7:3 IPA/DI water solution | 120 | 15 | [81] |
Resist | Resist Type | Incident Dose (mJ/cm2) | Resolution (nm) | Wavelength (nm) | Ref. |
---|---|---|---|---|---|
PMMA | Positive | 500 | 5 | 0.1–10 | [115] |
PMMA | Positive | 330 | 35 | 0.1–10 | [115] |
CoP (MMA MAA) | Positive | 50 | <50 | 1–5 | [115] |
TIP(MMA-MAA) | Positive | 24 | <50 | 1–5 | [120] |
DQN | Positive | 1000 | 50 | 0.4–4 | [120] |
PBOCST | Positive | 5 | 50 | 0.4–4 | [121] |
DCIPA | Negative | 7.8 | 500 | 0.5–5 | [121] |
Epoxidized polybutadiene | Negative | 1.5 | 1000 | 0.5–5 | [121] |
Resist | Resist Type | Sensitivity (µC/cm2) | Contrast | Ref. |
---|---|---|---|---|
PMMA | Positive | 2 | 2.7 | [52] |
AZ-5206 | Positive | 1.9 | 3.3 | [52] |
OiR-897 | Negative | 1.4 | 5 | [159] |
ARCH | Negative | 6.9 | 30 | [159] |
AZ-114-PN | Negative | 0.12 | 3.5 | [167] |
SAL-601 | Negative | 0.11 | 3.2 | [167] |
HSQ | Negative | >10 | 2–4 | [168] |
HiPR-6512 | Negative | 1.0 | 5.0 | [168] |
HPR-506 | Negative | 1.8 | 3.5 | [168] |
Material | Processing Temperature (°C) | Pressure (MPa) | Process Timing | Ref |
---|---|---|---|---|
PMMA | 200 | 10 | 3 min | [175] |
Polystyrene | 160 | 10 | 3 min | [175] |
Polypropylene | 165–225 | 5 | 5 min for melting, 30 min for press | [210] |
Polyethylene naphthalate | 290 | 2.5 | 10 min | [211] |
Cyclic–olefin copolymer | 150 | 5 | 5 min | [212] |
Polyethylene terephthalate | 75–150 | 2 | 5 min | [213] |
Polycarbonate | 160 | 5 | 5 min | [214] |
Polycarbonate | 180 | 10 | 10 min | [214] |
Polyimide | 200 | 3 | 2 min | [215] |
Epoxy | 95 | 1.2 | 10 min | [216] |
Polyetherimide | 285 | 1 | 3 min | [217] |
Fluorinated Ethylene Propylene | 270 | 0.18 | 5 min | [218] |
Ethylene tetrafluoroethylene | 250 | 1.38 | 10 s | [219] |
Cellulose Acetate | 115 | 13.6 | 0.2 m/min | [220] |
EUV Lithography | Electron Beam Lithography | X-Ray Lithography | Ion Beam Lithography | Nanoimprint Lithography | |
---|---|---|---|---|---|
Wavelength | ~13.5 nm | ~1–10 nm | ~1–10 nm (soft X-rays) | ~10–100 nm | N/A |
Throughput | High (production level) | Slow | Moderate | Slow | Very fast |
Resolution | ~5–7 nm | sub 10 nm | ~10 nm | ~10 nm | ~10–20 nm |
Cost | High | High | High | High | Moderate |
Masks | Required | No masks | Required | FIB: No masks PBW: No masks IPL: Required | No masks |
Advantages | High resolution, well-suited for mass production | High resolution, not for mass production | High resolution | High precision | High throughput |
Disadvantages | Complex and expensive infrastructure, limited material availability | Slow speed, expensive | Complex, limited material interactions | Slow, expensive, resolution limited by beam interactions | Limited to specific materials, requires molds |
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content. |
© 2025 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Basu, P.; Verma, J.; Abhinav, V.; Ratnesh, R.K.; Singla, Y.K.; Kumar, V. Advancements in Lithography Techniques and Emerging Molecular Strategies for Nanostructure Fabrication. Int. J. Mol. Sci. 2025, 26, 3027. https://doi.org/10.3390/ijms26073027
Basu P, Verma J, Abhinav V, Ratnesh RK, Singla YK, Kumar V. Advancements in Lithography Techniques and Emerging Molecular Strategies for Nanostructure Fabrication. International Journal of Molecular Sciences. 2025; 26(7):3027. https://doi.org/10.3390/ijms26073027
Chicago/Turabian StyleBasu, Prithvi, Jyoti Verma, Vishnuram Abhinav, Ratneshwar Kumar Ratnesh, Yogesh Kumar Singla, and Vibhor Kumar. 2025. "Advancements in Lithography Techniques and Emerging Molecular Strategies for Nanostructure Fabrication" International Journal of Molecular Sciences 26, no. 7: 3027. https://doi.org/10.3390/ijms26073027
APA StyleBasu, P., Verma, J., Abhinav, V., Ratnesh, R. K., Singla, Y. K., & Kumar, V. (2025). Advancements in Lithography Techniques and Emerging Molecular Strategies for Nanostructure Fabrication. International Journal of Molecular Sciences, 26(7), 3027. https://doi.org/10.3390/ijms26073027