Next Article in Journal
Composites Based on Hydroxyapatite and Whey Protein Isolate for Applications in Bone Regeneration
Next Article in Special Issue
Recent Advances in High-Throughput Nanomaterial Manufacturing for Hybrid Flexible Bioelectronics
Previous Article in Journal
Inactivating SARS-CoV-2 Using 275 nm UV-C LEDs through a Spherical Irradiation Box: Design, Characterization and Validation
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Challenges and Perspectives for Vertical GaN-on-Si Trench MOS Reliability: From Leakage Current Analysis to Gate Stack Optimization

1
Department of Information Engineering, University of Padua, 35131 Padova, Italy
2
Imec, Kapeldreef 75, 3001 Leuven, Belgium
3
CMST Imec/UGent, 9052 Ghent, Belgium
4
Fraunhofer Institute for Microstructure of Materials and Systems IMWS, Walter-Huelse-Strasse 1, 06120 Halle, Germany
*
Author to whom correspondence should be addressed.
Materials 2021, 14(9), 2316; https://doi.org/10.3390/ma14092316
Submission received: 23 March 2021 / Revised: 26 April 2021 / Accepted: 27 April 2021 / Published: 29 April 2021
(This article belongs to the Special Issue Feature Papers in Electronic Materials Section)

Abstract

:
The vertical Gallium Nitride-on-Silicon (GaN-on-Si) trench metal-oxide-semiconductor field effect transistor (MOSFET) is a promising architecture for the development of efficient GaN-based power transistors on foreign substrates for power conversion applications. This work presents an overview of recent case studies, to discuss the most relevant challenges related to the development of reliable vertical GaN-on-Si trench MOSFETs. The focus lies on strategies to identify and tackle the most relevant reliability issues. First, we describe leakage and doping considerations, which must be considered to design vertical GaN-on-Si stacks with high breakdown voltage. Next, we describe gate design techniques to improve breakdown performance, through variation of dielectric composition coupled with optimization of the trench structure. Finally, we describe how to identify and compare trapping effects with the help of pulsed techniques, combined with light-assisted de-trapping analyses, in order to assess the dynamic performance of the devices.

1. Introduction

A central challenge of power electronics today is to address the continuously rising demands for safe and reliable control, conversion and distribution of energy, while maximizing the efficiency. Switched-mode power conversion strategies, with myriad applications [1,2,3,4,5], are now universally preferred over the simpler linear conversion methods due to the advantages of better flexibility, safety, and importantly, higher efficiency. The core requirement for efficient power conversion thus translates directly to highly efficient power transistors that can sustain repeated OFF/ON switching transitions with minimal switching and resistive losses. Higher operational frequencies are desirable, since they reduce the amount of energy transferred/cycle, which in turn reduces the size of the passive circuit components in the converters. Since higher frequencies will inevitably correspond to increased switching losses, the upper limit on the operational frequency (currently, in the MHz range) is majorly determined by the switching capabilities of the available power transistors.
Silicon-based transistors have evolved over the years to meet the market needs; however further optimization is now bounded by the theoretical limits of Si. In this regard, wide-bandgap (WBG) semiconductors have found great consensus in being promising substitutes to Si transistors, derived from their superior figures of merit (FOMs). According to Baliga’s FOM (BFOM) (= ε μ E G 3 ,   V B R 2 R o n ) [6], materials such as GaN and SiC present comprehensive improvements in the breakdown voltage (VBR) vs. on-resistance (Ron) tradeoff. Comparing other FOMs provide easy estimations of the relevant metrics (a) conduction and switching losses from the on-resistance × output capacitance product ( R o n × C o s s ) [7] and (b) power density from 1 Q g R o n A p a c k a g e R t h [8] where A p a c k a g e is the package size and R t h is the thermal resistance. The gate charge Q g represents the switching loss incurred by the charging and discharging cycles of the gate terminal. Here too, GaN emerges as the dominant choice over Si, as reviewed by Vecchia et al., in [1].
Thus, combining the improved transport, breakdown and thermal properties, the use of WBG materials enables cost and size-effective power transistors (converters) operating at high voltages and temperatures with higher speeds (lower switching losses), and with higher overall efficiency (lower conduction and switching losses).
Although GaN (BFOM = 3175 [9]) is superior to SiC (BFOM = 840 [9]) in most material properties, SiC has better thermal conductivity and is generally considered to be more relevant to the high voltage (>1200 V) application domain, while the commercial marketability of GaN is usually assumed to be in the low to mid voltage ≤650 V (power capability ≈ kW) domain [1,9,10]. This is primarily because of the current and voltage limitations [1,9,11] of the lateral configuration initially adopted for design of GaN power transistors. These devices were built to capitalize on the high-mobility high-density 2DEG formed at the AlGaN/GaN hetero-interface and indeed, several works on lateral GaN transistors have displayed impressive performances in the mid-voltage range [12,13,14], as a result of revolutionary improvements in GaN epitaxy and design over the last couple of decades.
However, to establish GaN power transistors as serious contenders in application markets such as Electric Vehicle/Hybrid Electric Vehicle (EV/HEV) [4] or power grids, voltage capabilities up to 1700–1800 V are required. To this aim, the research focus is now shifting to vertical GaN structures [2,3,15]. In addition to better heat management and normally off capabilities, vertical architectures overcome the breakdown voltage vs. device area tradeoff of lateral devices. With proper optimization, vertical transistors are also expected to present better reliability performance, since the electric field is moved within the bulk, eliminating surface issues.
Fully vertical GaN-on-GaN diode and transistor demonstrators have reported excellent performances (up to 3-4 kV capability [16,17,18,19,20,21,22,23,24]). However, GaN substrates are small and expensive, with wafer costs per unit area for GaN-on-GaN ranging up to $ 100/cm2 for 2-inch wafers [25,26]. Thus, currently these devices have limited commercial viability. Economically, the GaN-on-Si technology appears to be the most worthwhile for further development, with 8-inch wafers costing only $1 per unit area, potentially lowering wafer costs by 100 times. [25,26]. However, owing to the mismatches in lattice constant and thermal expansion coefficient between GaN and Si, the growth of thick GaN layers on Si are subject to high dislocation/defect densities, which makes the epitaxy especially challenging. Although some innovative techniques have been successful in fabricating fully vertical GaN-on-Si diodes [27,28,29,30,31], and a fully vertical GaN-on-Si power transistor (VBR = 520 V, Ron = 5 mΩ.cm2) was recently demonstrated by Khadar et al. in [32] using substrate removal techniques, fully vertical GaN-on-Si technology is still in a very nascent stage. Recent results demonstrate the possibility of using engineered substrates (QST®), with a matched coefficient of thermal expansion, to enable low-cost vertical GaN FETs on large diameter wafers (8–12 inch) [33].
For the development of the gate module and for the optimization of the drift region of vertical GaN devices, an important step is the development of quasi-vertical GaN-on-Si devices [3,27,34,35,36], based on the idea of maintaining the source and drain electrodes on the same side of the wafer. This approach allows us to understand, study and overcome the challenges related to the development of vertical GaN transistors, before moving to the full vertical layout. Quasi-vertical structures can build on the recent advancements into GaN-on-Si epitaxy achieved during research into lateral GaN devices, while providing better field management due to the vertical stack. Among the several available quasi-vertical configurations such as CAVETs [24,37], OG-FETs [38,39] or Fin FETs [22,40], the trench MOSFET [2,3,32,34,41,42,43,44,45,46] is a popular choice with high cell density. It is inherently a normally off device with low Ron, and needs no regrowth of AlGaN/GaN channels. Figure 1 presents the schematic of a typical quasi-vertical GaN-on-Si trench MOSFET.
In the ON-state, the current in the quasi-vertical structure is sourced from the top n+ layer, and conducted vertically through the p+ GaN layer along the gate trench sidewalls. The current is then collected laterally through the bottom n+ layer, before being transported back to the surface through the drain metallization. A high doping of the n+ current-spreading layer ensures better current distribution, to minimize current crowding around the contact in the ON-state.
To design a reliable GaN-on-Si trench MOSFET, careful optimization of several interlinked physical parameters is required. As discussed earlier, the first design consideration, as for any power transistor, is to achieve a high VBR and low Ron simultaneously. In this regard, the thickness and doping of the p-body and drift layer are the central constraints. The parameters need to be carefully engineered to ensure good reverse blocking capability in the OFF-state in addition to forward conduction in the ON-state. Regarding the M-O-S stack, gate design parameters such as dielectric composition and thickness are important in controlling the threshold voltage, leakage and gate capacitance of the device. The dielectric choice, in addition to structural optimization of the trench to minimize field crowding, controls the gate breakdown capability. Finally, the leakage and trapping needs to be minimized throughout the quasi-vertical stack.In this work, we will discuss recent case studies that address the impacts of different design choices on the performance of quasi-vertical trench MOSFETs, while demonstrating testing strategies used to identify and compare degradation mechanisms in such devices. In Section 2, p+-n-n+ diode test structures are characterized; leakage modeling is used to identify the dominant mechanisms under reverse bias, and technology computer-aided design (TCAD) simulations are employed to compare the effects of high vs. low p-body doping, to present a trade-off useful for breakdown optimization. In Section 3, the optimization of the gate stack through the use of a bilayer dielectric is discussed. Specifically, the trapping and breakdown performance of bilayer (SiO2 + Al2O3) vs. unilayer (Al2O3) dielectrics are compared, and the effects of trench optimization are visualized by scanning electron microscopy (SEM) and transmission electron microscopy (TEM) analysis. In Section 4, methodologies for the assessment of the dynamic performance of the devices are presented. In addition, light-assisted experimental techniques are discussed, which improve the detection and understanding of trapping phenomena under low and high positive gate stresses.

2. OFF-State-Leakage and Doping Constraints of Quasi-Vertical GaN-on-Si Diodes from IMEC, Leuven, Belgium

In this section, we discuss the factors influencing the leakage current and the breakdown voltage of vertical GaN-on-Si stack, specifically designed for vertical trench-MOSFETs.
The growth of thick, mostly insulating GaN drift layers on Si was made possible during the last years thanks to the intense research on lateral power GaN devices; the main goal has been to improve the OFF-state blocking capability. For the move into vertical GaN devices, the drift layer modulation needs to be more rigorous, since in addition to sustaining high reverse biases in the OFF-state, it also needs to have a low resistivity in the ON-state. The ideal drift layer is thick, to sustain a large breakdown voltage, lightly doped, to ensure high mobility, thus allowing a good ON/OFF ratio, and has a low defect density, to minimize the defect-related leakage components [3]. Unintentionally doped drift layers are weakly n-type (1016 carriers/cm3 or above, [3,47,48]), due to residual impurities introduced during the growth process, such as silicon and oxygen [3,47,48,49,50,51,52].
In a vertical trench-MOSFET, the n drift region is in direct contact with the p-body, that may have Mg concentrations in excess of 1018–1019 cm−3. To optimize the breakdown voltage of vertical power FETs, it is therefore important to minimize both the leakage through the drift region, and to ensure that the p-body/drift region junction can sustain the high vertical field when the device is in the OFF-state [3,31,53,54,55].
Magnesium doping in GaN has been reported to form acceptor states located 0.16 eV above the valence band [56,57]. This relatively deep energy level results in incomplete thermal ionization of Mg acceptors at room temperature. Since the presence of hydrogen during MOCVD growth of p-type GaN can passivate the Mg-dopant through the formation of Mg-H bonds [58], a post-growth annealing treatment (while ensuring energies are lower than the threshold to create native defects) is necessary to ensure a high conductivity and hole density.
There are several possible leakage paths in the OFF-state [53,59,60]. In the quasi-vertical layout, parasitic leakage along the etch sidewalls and the bulk regions might be dominant and needs to be minimized. Other leakage paths may be present along the passivation layers, or vertically along the entire stack, reaching the substrate. To minimize the vertical leakage, the prevalent leakage mechanisms among different technology variations need to be understood, to enable directed improvements.
In performing leakage analysis of reverse biased p+n diodes, the conduction mechanisms through dielectrics subjected to high electric fields have been found to be applicable [53,59,61]. For low to medium reverse bias, the relevant mechanisms are usually electrode-limited related to the quality of the metal-semiconductor contacts. However, these mechanisms are usually not relevant in good vertical designs. As such, bulk conduction mechanisms are more relevant, in particular, variable range hopping (VRH) [54,55,59,62,63,64,65,66,67,68,69,70,71], Poole-Frenkel emission [59,63,64,65,66,67,68,69,72,73,74,75,76,77,78], and space charge limited conduction (SCLC) [31,47,53,79].
For investigating the doping and leakage issues under OFF-state within the vertical stack, it is useful to consider the simpler quasi-vertical diode structures, which form the fundamental block of the full MOSFET. The test vehicles used for the following study were aimed at understanding the p+-n-n+ stack; the schematic is presented in Figure 2. Fabricated on a 200 mm Si substrate, the diodes have Mg doping with NA = 6 × 1019 cm−3 within the p+ layer, and a weakly n-type drift layer with n = 4 × 1016 cm−3. The cathode is at the buried n+ layer below the n drift region. The reverse breakdown voltage was measured to be 170 V on these specific structures, having a drift layer thickness equal to 750 nm [45,55].

2.1. Leakage Modeling

Since individual leakage mechanisms have distinct temperature dependencies, temperature dependent I-V behavior is obtained. Reverse biased diode characteristics over a range of temperatures (T) from 50 °C to 130 °C are displayed in Figure 3a. The maximum cathode voltage (VCathode) was limited to VBR/2 to avoid degrading the samples, and obtain clean trends with T for medium voltages. The presence of two different natures of variation with T is found, hence two regions were identified to be modelled separately.
The first region, from VCathode = 0 V to 30 V, with a strong increase in current with temperature, was found to best represent conduction from Coulombic traps through thermionic emission [54,72]. The corresponding fit data is presented in Figure 3c. This mechanism is based on the assumption that the potential around traps at low electric fields can be considered Coulombic, while at higher fields, according to the Poole-Frenkel effect, a lowering of the potential barrier is expected with a square root dependency on field, strengthening the emission process of the trap [59,72,73,74]. This is expressed in the following formula, and the parameters are defined in [55]:
I T E = A T 2 exp E A k T ,
e n     e x p E T β F 1 2 k B T ,
β = q 3 π ε ,
The slope extracted from the fitting (not shown) revealed an activation energy EA of ≈0.85 eV, usually associated with the presence of carbon acceptors [80,81], with an effective lowering in EAEA) = 70 meV, the corresponding Poole-Frenkel coefficient β (=1.77 × 10−5 eV V−1/2 m1/2) was found to be close to the theoretical value [55]
The second region, from VCathode = 70 V to 75 V, was modelled using variable range hopping (VRH), the leakage evolution fit to the VRH model is presented in Figure 3d. The corresponding equation is written as in Equation (4), and the parameters are described in [55]:
I V R H = I 0 e x p 1.76 T 0 T 1 4 + C V R H T 0 T 3 4 F 2
VRH describes the conduction of electrons across multiple trap states distributed within the bandgap. With the high occurrence of substantial defect densities in GaN epitaxial layers, VRH is commonly observed in GaN diodes [59,62,63,64,65,66,67,68,69,70], ascribed to the hopping of charged carriers through localized defect states in depletion regions.
For both the fits in Figure 3c,d, the adjusted R-Square (Adj. R-Square) [82] is found to be close to 1, as presented in Figure 3b, attesting to the good conformity of the fits. The R-square, also referred to as the coefficient of determination, always lies between 0 to 1, corresponding to whether the fit line is able to describe 0% or 100% of the variability of the data around the mean. Adj. R-Square is a modification which takes the number of predictors (within the fitted line) into account.

2.2. Simulation of Doping Constraints in Diode Breakdown

The investigation of breakdown issues is especially suited to using TCAD simulations, which provide versatile, non-destructive and rapid optimization solutions. A representative and simplified (fully vertical) model of the test devices was built using the Sentaurus tool from Synopsys in order to investigate the nature of breakdown, relative to the chosen concentration of p-doping in GaN diodes [55]. The drift diffusion transport model is used, along with appropriate polarization, mobility and recombination models. The n+ layers are doped with ND = 5 × 1018 cm−3, and the n drift layer doping is fixed at ND = 4 × 1016 cm−3. For the p-body doping, Mg is defined as the dopant species. As discussed earlier, the Mg acceptors are not expected to be completely ionized at room temperature. Hence, to correctly estimate the effects of p-doping, using the incomplete ionization model is more physical. This model takes the parameters of the individual acceptor species into account, in particular, the ionization energy. Based on this, the simulator internally computes the effective doping concentration under different conditions. For example, a defined Mg concentration of NA = 6 × 1019 cm−3 with an ionization energy of 0.16 eV, leads to an effective base doping within the p-GaN region of ≈ 4 × 1018 cm−3 (6%), except within the depletion regions around the p-n junctions, where the defined NA is almost completely ionized.
Since the measured breakdown voltage of the test diodes is 170 V, the electric field evolution within the vertical diode is visualized at 160 V with different NA values in Figure 4. In Figure 4a,b, the chosen NA values are relatively low = 4 × 1017 cm−3 (see Figure 4a), 6 × 1017 cm−3 and 1 × 1018 cm−3. In this scenario, the p-GaN region is observed to be severely depleted, with reach through occurring for the NA = 4 × 1017 cm−3 case, once the depletion regions from the n+-p and p-n junctions intersect. Thus, a lower bound for setting the p-doping is identified owing to this constraint. In a real growth scenario, this constraint could be considerably tighter. If the reduction in Mg concentrations due to hydrogen passivation or other impurities were considered, the breakdown could occur faster (at lower voltages) for equivalent NA settings.
In Figure 4c,d the higher NA values are considered, including the representative value for the structures under test with NA = 6 × 1019 cm−3 (see Figure 4c). For these cases, the applied voltage drops almost entirely across the lightly doped n GaN region, leading to smaller depletion of the p+ GaN layer. On the other hand, the peak electric field at the p+ to n interface is significantly higher. In this scenario, breakdown in expected to be field-triggered, in fact, for NA = 6 × 1019 cm−3, we are approaching critical field for GaN (≈ 3 MV/cm [83]) at the 160 V condition, which is found to agree reasonably well with the measured breakdown voltage of 170 V. Thus, the higher bound for NA settings is identified.
Based on the results in Section 2, we infer that the density of defects within the drift region need to be optimized to control the leakage current and its temperature sensitivity. The contribution of the residual carbon concentration is found to be relevant to the low voltage regimes, and needs to be optimized to improve the leakage performance. Regarding p-doping-induced constraints on the breakdown voltage, for a lightly doped drift layer, keeping the p-doping low can reduce the peak electric field, pushing VBR to higher voltages. However, the trade-off dictates that the value still needs to be high enough to avoid complete depletion of the p GaN layer unexpectedly at low voltages.

3. OFF-State and ON-State–Optimization of the M-O-S Stack in Quasi-Vertical MOSFETs from IMEC, Leuven, Belgium

This section describes recent results on the degradation and optimization of the MOS gate stack used for GaN-on-Si vertical MOSFETs.
The reliability of the gate stack is highly influenced by the choice of the oxide in trench MOSFETs, since the insulator is vulnerable to repeated stressing during the operation of the power devices over time [46,84,85]. Specifically, the properties of the insulator can greatly affect the leakage, breakdown and trapping performance of the M-O-S stack under positive gate stresses. One of the essential requirements for a gate oxide is to have high band offsets with GaN, which is critical to limit the leakage current [86,87,88]. In this regard, while materials such as silicon nitride or hafnium oxide (band offsets around 1 eV) are less favored, Al2O3 [89,90] and SiO2 [32,34] have emerged as popular choices with conduction band offsets (ΔEC) of 2.1 and 2.5 eV, respectively. Al2O3 presents good metrics [86,87,88]: in addition to having a high bandgap (8.9 eV), high k (dielectric constant = 9.0), and reasonably high breakdown strength (~10 MV/cm), improvements in deposition techniques now allow Al2O3/GaN interfaces to be formed with very low interface state densities [88,91,92]. SiO2 also has a high bandgap (9.1 eV), and its advantage is high chemical stability, which extends to high operational stability in the devices.
Since the reliability of the MOS framework is still not completely understood, there has been limited effort in exploring alternatives to the conventional MOS structure with an unilayer dielectric. In particular, the approach of using bilayer dielectrics (with a thin interface dielectric followed by a thicker insulator), which has been found to be advantageous for Si MOSFET design, could potentially be very valuable for GaN-based MOSFETs as well. However, inherent reliability risks could be worsened with increasing complexity in the dielectric deposition process. To truly capitalize on the effects of improved dielectrics, the bulk GaN etch process, in particular the formation of the trench itself, needs to be highly optimized. The shape of the trench is usually optimized [93,94,95,96,97] to find the best combination of VBR and Ron; deep trenches with rounded corners have been reported to display good metrics [93,98,99]. However, for higher trench depths (over-etch) extending beyond the p-body, the peak field under the OFF-state could be aggravated [93]. The overall etching process is aimed at creating smooth sidewalls, and preventing irregularities such as pits or voids, especially at the bottom trench corners where the peak fields are expected [93,94,95,96,97].

3.1. Optimising Dielectric Composition

This section demonstrates the advantages of employing a bilayer insulator composition in quasi-vertical MOSFETs through DC and pulsed measurements, and TCAD simulations [46]. The devices under test are GaN-on-Si trench MOSFETs, structurally similar to Figure 1. During Atomic Layer Etch (ALE) processing steps, an O2 plasma is used to oxidize the GaN after which a BCl3 dry etch step is executed to remove the oxidized GaN layer. The amount of ALE cycles has been optimized to ensure a good profile of the gate trench, removing in total ~25 nm. In this section, we discuss the effects of the dielectric composition around the gate trench, as illustrated in Figure 5. The Al2O3 deposition is performed using atomic layer deposition (ALD) at 300 °C, while the SiO2 in the bi-layer is deposited using plasma-enhanced chemical vapor deposition (PECVD) at a deposition temperature of 400 °C. The focal idea was to compare the robustness of devices fabricated with a bilayer dielectric composed of SiO2 and Al2O3 to devices with a traditional unilayer dielectric of Al2O3. Effectively, the bilayer stack should combine the merits of SiO2 as a bulk insulator with the ability of Al2O3 to create a high-quality interface to GaN.
As expected, the gate-source and gate-drain diode leakage of the bilayer devices was found to be lower by a couple of orders of magnitude [46]. This is attributed to the intrinsically higher breakdown field of SiO2, as well as the additional barrier (conduction band discontinuity at the Al2O3/SiO2 interface of 0.4 eV [86]) to thermionic leakage from the channel to the gate, introduced by the bilayer configuration.
To evaluate the reliability of the two stacks under the ON-state, forward gate breakdown step stress tests were performed, where the gate voltage was incremented from 0 V in steps of 3V, while VDS was constant at 1 V. Very little dispersion in breakdown voltage was observed across several devices, and the gate breakdown voltage for the unilayer and bilayer configurations were found to be 9 V and 27 V [46], the bilayer devices displaying an improvement of three times.
In Figure 6, the schematic of the simulated device (Figure 6a), and the electric field distribution within the unilayer and bilayer oxides are visualized at their respective gate breakdown voltages.
In the ON-state, the channel exists continuously along the trench sidewalls. Thus, the applied gate voltage falls entirely within the oxide layer, and the internal field grows rapidly, as illustrated in Figure 6b,c. This condition can then be used to estimate the critical electric field for the two gate dielectric compositions. From theoretical considerations, the unilayer Al2O3 devices are expected to have an average critical electric field value of 2.6 MV/cm (9 V/35 nm), while the bilayer devices are estimated to have a critical electric field value of 7.5 MV/cm (26.2 V/35 nm) for the SiO2 layer, and 3.2 MV/cm (0.80 V/2.5 nm) for the Al2O3 layer [46]. These values are well substantiated by the simulated electric fields in Figure 6 obtained at the respective breakdown voltages.
The second set of measurements were aimed at comparing OFF-state performance of the dielectric stacks. Figure 7 presents the results of drain step stress until breakdown, coupled with electroluminescence (EL) studies, performed at VGS =0 V on 35 devices from each wafer. During each stress step, an EL image was simultaneously generated with an acquisition time of 40 s [46]. In the OFF-state, the applied stress voltage is distributed across the depleted drift layer, in addition to the dielectric stack, resulting in correspondingly higher breakdown voltages for both unilayer and bilayer devices. The VBR distribution for the tested devices is compared in Figure 7a, wherein the bilayer emerges as clearly superior, with an average VBR improvement of 10 V.
An example of an EL spot observed along the gate finger at VBR, reflecting the region of breakdown in the devices, is shown in Figure 7b, along with a collated map of the breakdown spots for all tested devices, identified through EL acquisitions obtained during the step stress process, and on reaching failure. The results clearly indicate a preferential failure occurrence at the corners of the gate fingers, independent of the dielectric deposition.
The measurements displayed in Figure 7 were performed using microprobes fitted with an optimized current limiting circuit, in order to protect the failed devices from thermal runaway, and to preserve them for further post-failure analyses by TEM and Energy Dispersive X-ray Spectroscopy (EDX) [100,101] to identify the cause of breakdown [102].
Compared to the size of the original defect, an observed EL spot represents a relatively wide area in which the original defect could be present. Screening is necessary to precisely localize the defect within the observed EL spot area, which can be done by performing alternating focused ion beam (FIB) milling and SEM imaging [100]. After screening of the defect, TEM investigations were performed at various lamella thicknesses starting from 1.5 µm down to 50 nm to search for of a particular defect. Figure 8 exemplary displays the results of a defect analysis of a stressed bilayer device at the location of a particular EL spot, with a focus on the gate trench corners.
Device failure was identified to have been caused by an electrical breakdown of the gate isolation at the bottom edges of the trench, and was correlated with the presence of several abrupt steps of the gate trench sidewall [102]. While the defect structure was found to coincide with a melted area and several voids (see Figure 8b,c) as a consequence of gate shorts [102], EDX analysis on failed devices (not shown here, but reported in [102]) revealed that the breakdown of the gate isolation resulted in minor migrations of silicon and oxygen, and a dominant migration of nitrogen into the gate oxide.
To complete the investigation into the relative merits/demerits of the bilayer composition, trapping analyses using double pulsed [44,103] and on-the-fly transient [44,104,105] measurements were performed on several devices from both wafers, as presented in Figure 9. More details on the test methods will be provided in Section 4. The shift in the threshold voltage (ΔVth) is compared for identical positive gate overdrive stresses.
The Vth shifts are comparable or slightly higher for the bilayer case, which could be due to additional trapping sites generated at the additional interface within the dielectric. However, the trapping performance for both the compositions is primarily comparable, which implies that most of the trapping can be presumed to occur at the interface and/or border traps near the shared GaN/Al2O3 region [44,106,107].

3.2. Optimising Trench Fabrication

In Section 3.1, the cause of breakdown was correlated to non-idealities around the trench edges. In this section, the cross-sectional analyses to identify the underlying issue, and to visualize improvements in the gate trench etch process, are summarized [102], in an effort to understand how to improve breakdown performance.
The investigated devices are GaN-on-Si trench MOSFETs with bilayer gate dielectric compositions. The fabrication process of the gate trench involved a bulk GaN etch process followed by an ALE and wet cleaning process. The first set of devices (Wafer A) are from the bilayer wafer presented in Section 3.1 (see Figure 8). The second set of devices (Wafer B) are taken from a wafer with an optimized ALE processing and wet cleaning sequence.
During the initial FIB-SEM investigation to isolate the defective/shorted gate, irregularities of the trench structure of Wafer A were observed. Hence, slice-and-view FIB-SEM analysis [100,101,108,109] was undertaken to study the trench at different locations along the gate finger, as presented in Figure 10.
Several steep steps of varying shape and length were observed at each cross section along the trench sidewalls, dominantly at the lower trench corners. Since these irregularities are associated with accelerated degradations, drawing from these observations, the ALE and wet cleaning processes were improved during the fabrication of Wafer B. As displayed in Figure 10d–f, the newly fabricated trench gates have clean sidewalls, with no observed roughness or steps. Further TEM analysis [102] also corroborated these observations.
From the results in Section 3, we can improve the general understanding of the degradation mechanisms that occur within the gate stack, when subjected to prolonged gate and drain stresses. Bilayer dielectric compositions, utilizing the good interface properties of Al2O3 to GaN and the improved stability of the SiO2 material, were found to be highly advantageous to breakdown performance of GaN trench MOSFETs, without significant worsening of trapping effects. However, before improving other design parameters, the fundamental GaN etch process must be robust. Microstructural defects formed during fabrication of the gate trench sidewalls can manifest in worsened reliability and faster breakdown, hence optimization techniques to minimize etch roughness are critical.

4. ON-State-Light Assisted Analysis of Trapping Mechanisms in Quasi-Vertical MOSFETs from IMEC, Leuven, Belgium

For reliable ON-state operation of GaN MOSFETs, it is fundamental to understand and minimize the trapping states for the insulator/GaN interface. Since III-V semiconductors have no native oxides, developing high quality oxide films on GaN is difficult. The progress in the application of the atomic layer deposition technique has allowed the successful deposition of low-defect Al2O3 films on GaN, improving the performances of MOS structures. However, identifying relevant trapping sites and the induced threshold voltage Vth instabilities [44,89,106,107,110,111] due to limited controllability of the GaN surface potential continues to be a primary task to the adoption of GaN vertical MOSFETs in real applications.
In Section 3.1, the trap impacts on threshold voltage were found to be comparable between bilayer and unilayer dielectric cases, indicating that states at or near the GaN/Al2O3 interface are presumably the major contributing factor to bias threshold instability (BTI) observations.
In this section, we focus on unilayer Al2O3-only trench MOSFET devices with an average Vth of 2 V, with device structure similar to Figure 1, to understand the trapping mechanisms through characterization of induced Vth shifts [44]. Within the Al2O3/GaN system, three fundamental trapping locations have been identified [106,107]. Trap states within the bulk dielectric and near-interface or border sites depend strongly on the properties of the deposited Al2O3, while the states along the Al2O3/GaN interface (quantified by the interface state density Dit) correlate to the quality of the dielectric/semiconductor boundary, and of the process. For a wide band-gap material such as GaN, it is often difficult to isolate the effects of energetically deep trap states. This is where light energy, and especially the application of UV light with energies approaching/higher than the GaN band-gap, is valuable. In the following results, we investigated Vth shifts under positive gate stress, by combining analytical techniques to identify trap processes and associated recovery dynamics. In each case, light energy is used to support the analyses, and provide further insight into the physical origin of the trap states.
The first set of measurements to test the dynamic performance of the devices, as summarized in Figure 11, are double pulsed measurements. The double pulse measurement system is a powerful high voltage, high speed setup to analyze the dynamic performance of devices by synchronously pulsing the gate and drain voltages. The pulsing setup switches between the quiescent (stress conditions) and measurement phases within relatively short time scales (μs). The VG stress settings are incremented from VG,Stress = 0 V to 5 V, VD,Stress = 0 V for a quiescent time of tQ = 100 μs, and the ID-VG measurement settings were VGS = −1 to 7 V, VDS = 8 V for a measurement time tmeas = 1 μs. In Figure 11a, the measurements were performed in dark conditions, displaying a positive shift in Vth (PBTI) of 1.2 V for Q (5,0) (Vth calculated as the voltage intercept at ID = 5 mA/mm). The Vth shift can be attributed to the fast-pulsed stressing configuration, with no recovery intervals between the progressively stronger stress conditions.
After a rest period of 5 min following the positive gate stress at Q (5,0), the ID-VG measured for Q (0,0) condition still showed substantial degradation from the initial ID-VG characteristic at Q (0,0), indicating semi-permanent trapping processes. This can also be visualized by plotting the ΔID/ID, max ratio in Figure 11b for the high stress Q (5,0) condition.
The shift in the current levels under stress was 30% of the pre-stressed current maximum, while 5 min of recovery reduced it to 25–27%. On the other hand, repeating the same stress-recovery cycles as in Figure 11a, but under the presence of UV light displayed substantial improvement. As highlighted in Figure 11b, under UV light, for the highest stress condition of Q (5,0), the shift in the current levels was less than 10%. Furthermore, letting the device recover for 5 min thereafter, the deviation in the ID-VG at Q (0,0) from the unstressed initial ID-VG at Q (0,0) was found to be negligible (ΔID/ID, max ≈ 2–3%, not shown).
Based on these observations, a powerful transient setup was employed to take a closer look at the evolution of induced Vth shifts under longer gate stress durations, in the presence of different monochromatic light energies. This versatile setup accurately evaluates Vth transients in the 10 μs–100 s range where a typical measurement consists of 100 s of stress and 100 s of recovery. Twenty-two fast ID–VG measurements of 10 μs each are performed during the stress/recovery phases to compare the evolution of Vth. During initial measurements using this technique, small negative Vth shifts were observed at low stress voltages [89,112], and high positive Vth shifts were observed for gate stresses of 4 V and higher [44]. To investigate the effects of light-assisted de-trapping, the recovery was repeated under different wavelengths of light, following 100 s of trap filling at VG,Stress = 5 V, and VD,Stress= 0 V.
Figure 12 presents the results of the light-assisted Vth transient technique. In Figure 12a, a positive Vth shift of 0.75 V is seen after 100 s of stress at VG,Stress = 5 V. The recovery transient (at VG,Rec = 0 V and VD,Rec = 0 V) in response to this stress, was measured under dark and under monochromatic light energies from 1.6 eV to 3.1 eV, as illustrated in Figure 12b,c. Under dark conditions, the recovery is slow and hence incomplete [113] at the end of the 100 s of recovery phase. For low photon energies, such as 760 nm, only 50% (0.35 V) of the stress-induced PBTI was recoverable within 100 s. For higher photon energies, de-trapping was found to be gradually accelerated. The threshold energy (associated to the lowest energetic position of deep bulk states) for improved de-trapping was identified to be 2.95 eV (420 nm), while complete recovery of the 0.75 V of positive Vth shift was observed within the 100 s window for the 3.1 eV (395 nm) case. As can be noticed in Figure 12b, all photon energies below 2.7 eV did not induce any significant changes, with small/negligible recovery. Small variations observed below this threshold in Figure 12c may be ascribed to small (5–10%) measurement inconsistencies and/or noise.
A direct takeaway from this would be the presence of trap states located energetically between 2.9 eV and 3.1 eV from the conduction band of the oxide, which equates to 0.8 to 1.0 eV from the conduction band of the semiconductor, considering a conduction band offset of 2.16 eV [86] at the Al2O3/GaN interface.
The final light-assisted technique to identify trap distributions is the photo assisted CV method [44,114]. This measurement approach evaluates the distribution of interface states located along the gate dielectric interface to GaN. In this method, capacitance-voltage measurements, obtained under a photo-assisted de-trapped condition and a bias-induced trapped condition, are compared to quantify the interface state density. The use of UV light allows us to empty all defects at the interface (when the device is in depletion) to probe interface states deep within the bandgap. The results of the photo-assisted CV experiment are displayed in Figure 13.
The devices are biased in depletion condition for a short time and then exposed to UV light in order to empty all traps at the interface, as shown in Figure 13a. In the presence of UV light, electron-hole pairs are generated, accompanied by an increasing capacitance transient due to the release of trapped charge inside the depleted region. The duration of UV exposure is 50 s, until the capacitance level saturates. This is followed by a longer time interval in the dark (500 s) to allow enough time for the excess photo-generated carriers to leave the system and reach thermal equilibrium. Then, the de-trapped capacitance-voltage curve from depletion to accumulation is measured from VG = 0 V to 5 V (see Figure 13c). Bias at the end voltage (5 V) is maintained for a moderate filling time (80 s), to induce charge trapping at insulator and interface states, as shown in Figure 13b. Finally, the second C-V curve of the trapped device is measured from accumulation to depletion. The difference in C-V slope of the trapped and de-trapped curves allows the extraction of Dit versus energy, while the fixed shift in the curves is proportional to the amount of charge trapped in the bulk of the oxide and/or in near-interfacial or border traps. The Dit profile (inset of Figure 13c) reveals shallow traps located around 0.3 eV from the conduction band.
Based on the observations in Section 4, the following inferences regarding relevant trapping mechanisms under forward gate stress can be drawn, as also summarized in Figure 14.
The small NBTI observed during Vth transients at low gate stresses (≤2 V) is attributed to de-trapping of electrons within the gate oxide to the metal (M1 in Figure 14). When medium gate stresses are applied (≈3–4 V), small amounts of PBTI can be attributed to electron trapping from the semiconductor towards border states in the dielectric (M2_VLOW in Figure 14). Vth shifts owing to this process are recoverable once stress is removed and the Fermi level is restored, even under dark conditions if enough recovery time is provided. For high gate stresses (≥4V), strong PBTI is induced, and this contribution suffers from low recovery under dark conditions, even for long recovery times (~ days). The mechanism responsible for this semi-permanent Vth degradation (M2_VHIGH in Figure 14) is due to the worsening of M2 under high fields, resulting in electron transport from the channel to energetically deeper trap states along the interface, or further within the bulk of the dielectric. To enable de-trapping from these deeper trap states, light energy ≥2.9 eV is required.

5. Conclusions

In this paper, we have summarized some of the most relevant challenges for the development of reliable GaN-on-Si vertical trench MOSFETs, for application in power electronics. Specifically, we presented the results of recent case studies, aimed at investigating (a) the origin of OFF-state leakage current, (b) the role of p-body doping in determining the breakdown voltage of the vertical stack, (c) the substantial improvement of reliability that can be obtained through the use of a bi-layer gate insulator, (d) specific failure mechanisms related to the optimization of the trench etching and cleaning procedure, and (e) a set of advanced results on the physics of interface trapping phenomena, obtained through the use of pulsed/transient measurements carried out in dark and under light. The obtained insights help understanding the current issues faced by the GaN for power community, and demonstrates strategies for identifying and analyzing the structural, leakage and trapping constraints to realize efficient and economical GaN-on-Si devices. If the pace of development and innovation within GaN-on-Si technologies is sustained, the benefits could prove to be revolutionary for the power semiconductor industry.

Funding

This project has received funding from the ECSEL Joint Undertaking (JU) under grant agreement No. 826392. The JU receives support from the European Union’s Horizon 2020 research and innovation programme and Austria, Belgium, Germany, Italy, Norway, Slovakia, Spain, Sweden, Switzerland. This research activity was partly funded by project “Novel vertical GaN-devices for next generation power conversion”, NoveGaN (University of Padova), through the STARS CoG Grants call. Part of this work was supported by MIUR (Italian Minister for Education) under the initiative “Departments of Excellence” (Law 232/2016).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

No new data were created or analyzed in this study.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Vecchia, M.D.; Ravyts, S.; Broeck, G.V.D.; Driesen, J. Gallium-Nitride Semiconductor Technology and Its Practical Design Challenges in Power Electronics Applications: An Overview. Energies 2019, 12, 2663. [Google Scholar] [CrossRef] [Green Version]
  2. Flack, T.J.; Pushpakaran, B.N.; Bayne, S.B. GaN Technology for Power Electronic Applications: A Review. J. Electron. Mater. 2016, 45, 2673–2682. [Google Scholar] [CrossRef]
  3. Hu, J.; Zhang, Y.; Sun, M.; Piedra, D.; Chowdhury, N.; Palacios, T. Materials and processing issues in vertical GaN power electronics. Mater. Sci. Semicond. Process. 2018, 78, 75–84. [Google Scholar] [CrossRef] [Green Version]
  4. Keshmiri, N.; Wang, D.; Agrawal, B.; Hou, R.; Emadi, A. Current Status and Future Trends of GaN HEMTs in Electrified Transportation. IEEE Access 2020, 8, 70553–70571. [Google Scholar] [CrossRef]
  5. Chowdhury, S.; Mishra, U.K. Lateral and Vertical Transistors Using the AlGaN/GaN Heterostructure. IEEE Trans. Electron Devices 2013, 60, 3060–3066. [Google Scholar] [CrossRef]
  6. Baliga, B.J. Semiconductors for High-voltage, Vertical Channel Field-effect Transistors. J. Appl. Phys. 1982, 53, 7. [Google Scholar] [CrossRef]
  7. Kim, I.-J.; Matsumoto, S.; Sakai, T.; Yachi, T. New power device figure of merit for high-frequency applications. In Proceedings of the International Symposium on Power Semiconductor Devices and IC’s: ISPSD ‘95, Yokohama, Japan, 23–25 May 1995. [Google Scholar] [CrossRef]
  8. Wang, H.; Wang, F.; Zhang, J. Power Semiconductor Device Figure of Merit for High-Power-Density Converter Design Applications. IEEE Trans. Electron Devices 2007, 55, 466–470. [Google Scholar] [CrossRef]
  9. Roccaforte, F.; Greco, G.; Fiorenza, P.; Iucolano, F. An Overview of Normally-Off GaN-Based High Electron Mobility Transistors. Materials 2019, 12, 1599. [Google Scholar] [CrossRef] [Green Version]
  10. Shenai, K. Future Prospects of Widebandgap (WBG) Semiconductor Power Switching Devices. IEEE Trans. Electron Devices 2014, 62, 248–257. [Google Scholar] [CrossRef]
  11. Meneghesso, G.; Meneghini, M.; Bisi, D.; Rossetto, I.; Cester, A.; Mishra, U.K.; Zanoni, E. Trapping phenomena in AlGaN/GaN HEMTs: A study based on pulsed and transient measurements. Semicond. Sci. Technol. 2013, 28, 074021. [Google Scholar] [CrossRef]
  12. Ueda, T. GaN power devices: Current status and future challenges. Jpn. J. Appl. Phys. 2019, 58, SC0804. [Google Scholar] [CrossRef]
  13. Hu, J.; Stoffels, S.; Lenci, S.; De Jaeger, B.; Ronchi, N.; Tallarico, A.N.; Wellekens, D.; You, S.; Bakeroot, B.; Groeseneken, G.; et al. Statistical Analysis of the Impact of Anode Recess on the Electrical Characteristics of AlGaN/GaN Schottky Diodes With Gated Edge Termination. IEEE Trans. Electron Devices 2016, 63, 3451–3458. [Google Scholar] [CrossRef]
  14. Uemoto, Y.; Hikita, M.; Ueno, H.; Matsuo, H.; Ishida, H.; Yanagihara, M.; Ueda, T.; Tanaka, T.; Ueda, D. Gate Injection Transistor (GIT)—A Normally-Off AlGaN/GaN Power Transistor Using Conductivity Modulation. IEEE Trans. Electron Devices 2007, 54, 3393–3399. [Google Scholar] [CrossRef]
  15. Meneghini, M.; Fabris, E.; Sun, M.; Palacios, T.; Meneghesso, G.; Zanoni, E.; Ruzzarin, M.; De Santi, C.; Nomoto, K.; Hu, Z.; et al. Degradation Mechanisms of GaN-Based Vertical Devices: A Review. Phys. Status Solidi (A) 2020, 217. [Google Scholar] [CrossRef]
  16. Ohta, H.; Kaneda, N.; Horikiri, F.; Narita, Y.; Yoshida, T.; Mishima, T.; Nakamura, T. Vertical GaN p-n Junction Diodes with High Breakdown Voltages Over 4 kV. IEEE Electron Device Lett. 2015, 36, 1180–3182. [Google Scholar] [CrossRef]
  17. Kizilyalli, I.C.; Edwards, A.P.; Aktas, O.; Prunty, T.; Bour, D. Vertical Power p-n Diodes Based on Bulk GaN. IEEE Trans. Electron Devices 2015, 62, 414–422. [Google Scholar] [CrossRef]
  18. Oka, T. Recent development of vertical GaN power devices. Jpn. J. Appl. Phys. 2019, 58, SB0805. [Google Scholar] [CrossRef]
  19. Ramanathan, D.; Coles, C.; Meier, W. Not All GaN Transistors Are Built Equal: The Benefits of Vertical GaN-on-GaN. In Proceedings of the PCIM Europe Digital Days 2020; International Exhibition and Conference for Power Electronics, Intelligent Motion, Renewable Energy and Energy Management, Nuremburg, Germany, 7–8 July 2020; pp. 1–4. [Google Scholar]
  20. De Santi, C.; Fabris, E.; Meneghesso, G.; Zanoni, E.; Meneghini, M. GaN Vertical p–i–n Diodes in Avalanche Regime: Time-Dependent Behavior and Degradation. IEEE Electron Device Lett. 2020, 41, 1300–1303. [Google Scholar] [CrossRef]
  21. Fukushima, H.; Usami, S.; Ogura, M.; Ando, Y.; Tanaka, A.; Deki, M.; Kushimoto, M.; Nitta, S.; Honda, Y.; Amano, H. Deeply and vertically etched butte structure of vertical GaN p–n diode with avalanche capability. Jpn. J. Appl. Phys. 2019, 58, SCCD25. [Google Scholar] [CrossRef]
  22. Zhang, Y.; Sun, M.; Piedra, D.; Hu, J.; Liu, Z.; Lin, Y.; Gao, X.; Shepard, K.; Palacios, T. 1200 V GaN vertical fin power field-effect transistors. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017. [Google Scholar]
  23. Panasonic GaN Power Transistors. New Contender for the Power Transistor Throne: How GaN Is Threatening the MOSFET’s Crown, April 2018. Available online: https://eu.industrial.panasonic.com/sites/default/pidseu/files/pan_18047_whitepaper_gan_web.pdf (accessed on 20 February 2021).
  24. Ji, D.; Agarwal, A.; Li, H.; Li, W.; Keller, S.; Chowdhury, S. 880 V/2.7 M Cm2 MIS Gate Trench CAVET on Bulk GaN Substrates. IEEE Electron Device Lett. 2018, 39, 863–865. [Google Scholar] [CrossRef]
  25. Hu, J.; Stoffels, S.; Lenci, S.; Bakeroot, B.; De Jaeger, B.; Van Hove, M.; Ronchi, N.; Venegas, R.; Liang, H.; Zhao, M.; et al. Performance Optimization of Au-Free Lateral AlGaN/GaN Schottky Barrier Diode with Gated Edge Termination on 200-mm Silicon Substrate. IEEE Trans. Electron Devices 2016, 63, 997–1004. [Google Scholar] [CrossRef]
  26. Zhang, Y.; Sun, M.; Wong, H.-Y.; Lin, Y.; Srivastava, P.; Hatem, C.; Azize, M.; Piedra, D.; Yu, L.; Sumitomo, T.; et al. Origin and Control of OFF-State Leakage Current in GaN-on-Si Vertical Diodes. IEEE Trans. Electron Devices 2015, 62, 2155–2161. [Google Scholar] [CrossRef] [Green Version]
  27. Zhang, Y.; Piedra, D.; Sun, M.; Hennig, J.; Dadgar, A.; Yu, L.; Palacios, T. High-Performance 500 V Quasi- and Fully-Vertical GaN-on-Si pn Diodes. IEEE Electron Device Lett. 2016, 38, 248–251. [Google Scholar] [CrossRef]
  28. Zhang, X.; Zou, X.; Lu, X.; Tang, C.W.; Lau, K.M. Fully- and Quasi-Vertical GaN-on-Si p-i-n Diodes: High Performance and Comprehensive Comparison. IEEE Trans. Electron Devices 2017, 64, 809–815. [Google Scholar] [CrossRef]
  29. Zhang, Y.; Yuan, M.; Chowdhury, N.; Cheng, K.; Palacios, T. 720-V/0.35-m Ω⋅Ω cm2Fully Vertical GaN-on-Si Power Diodes by Selective Removal of Si Substrates and Buffer Layers. IEEE Electron Device Lett. 2018, 39, 715–718. [Google Scholar] [CrossRef]
  30. Zou, X.; Zhang, X.; Lu, X.; Tang, C.W.; Lau, K.M. Fully Vertical GaN p-i-n Diodes Using GaN-on-Si Epilayers. IEEE Electron Device Lett. 2016, 37, 636–639. [Google Scholar] [CrossRef]
  31. Mase, S.; Hamada, T.; Freedsman, J.J.; Egawa, T. Effect of Drift Layer on the Breakdown Voltage of Fully-Vertical GaN-on-Si p-n Diodes. IEEE Electron Device Lett. 2017, 38, 1720–1723. [Google Scholar] [CrossRef]
  32. Khadar, R.A.; Liu, C.; Soleimanzadeh, R.; Matioli, E. Fully Vertical GaN-on-Si power MOSFETs. IEEE Electron Device Lett. 2019, 40, 443–446. [Google Scholar] [CrossRef]
  33. Zubair, A.; Perozek, J.; Niroula, J.; Aktas, O.; Odnoblyudov, V.; Palacios, T. First Demonstration of GaN Vertical Power FinFETs on Engineered Substrate. In Proceedings of the 2020 Device Research Conference (DRC), Columbus, OH, USA, 21–24 June 2020; pp. 1–2. [Google Scholar]
  34. Liu, C.; Khadar, R.A.; Matioli, E. GaN-on-Si Quasi-Vertical Power MOSFETs. IEEE Electron Device Lett. 2017, 39, 71–74. [Google Scholar] [CrossRef]
  35. Liu, C.; Khadar, R.A.; Matioli, E. Vertical GaN-on-Si MOSFETs With Monolithically Integrated Freewheeling Schottky Barrier Diodes. IEEE Electron Device Lett. 2018, 39, 1034–1037. [Google Scholar] [CrossRef]
  36. Liu, C.; Khadar, R.A.; Matioli, E. 645 V quasi-vertical GaN power transistors on silicon substrates. In Proceedings of the 2018 IEEE 30th International Symposium on Power Semiconductor Devices and ICs (ISPSD), Chicago, IL, USA, 13–17 May 2018; pp. 240–243. [Google Scholar]
  37. Chowdhury, S.; Swenson, B.L.; Mishra, U.K. Enhancement and Depletion Mode AlGaN/GaN CAVET With Mg-Ion-Implanted GaN as Current Blocking Layer. IEEE Electron Device Lett. 2008, 29, 543–545. [Google Scholar] [CrossRef]
  38. Ji, D.; Li, W.; Agarwal, A.; Chan, S.H.; Haller, J.; Bisi, D.; Labrecque, M.; Gupta, C.; Cruse, B.; Lal, R.; et al. Improved Dynamic RON of GaN Vertical Trench MOSFETs (OG-FETs) Using TMAH Wet Etch. IEEE Electron Device Lett. 2018, 39, 1030–1033. [Google Scholar] [CrossRef]
  39. Gupta, C.; Chan, S.H.; Enatsu, Y.; Agarwal, A.; Keller, S.; Mishra, U.K. OG-FET: An in-situ Oxide, GaN interlayer based vertical trench MOSFET. IEEE Electron Device Lett. 2016, 37, 1. [Google Scholar] [CrossRef]
  40. Xiao, M.; Gao, X.; Palacios, T.; Zhang, Y. Leakage and breakdown mechanisms of GaN vertical power FinFETs. Appl. Phys. Lett. 2019, 114, 163503. [Google Scholar] [CrossRef]
  41. Li, W.; Xing, H.G.; Nomoto, K.; Lee, K.; Islam, S.; Hu, Z.; Zhu, M.; Gao, X.; Pilla, M.; Jena, D. Development of GaN Vertical Trench-MOSFET With MBE Regrown Channel. IEEE Trans. Electron Devices 2018, 65, 2558–2564. [Google Scholar] [CrossRef]
  42. Otake, H.; Chikamatsu, K.; Yamaguchi, A.; Fujishima, T.; Ohta, H. Vertical GaN-Based Trench Gate Metal Oxide Semiconductor Field-Effect Transistors on GaN Bulk Substrates. Appl. Phys. Express 2008, 1, 011105. [Google Scholar] [CrossRef]
  43. Gupta, C.; Chan, S.H.; Lund, C.; Agarwal, A.; Koksaldi, O.S.; Liu, J.; Enatsu, Y.; Keller, S.; Mishra, U.K. Comparing electrical performance of GaN trench-gate MOSFETs with a-Plane and m-Plane Sidewall Channels. Appl. Phys. Express 2016, 9, 121001. [Google Scholar] [CrossRef]
  44. Mukherjee, K.; Borga, M.; Ruzzarin, M.; De Santi, C.; Stoffels, S.; You, S.; Geens, K.; Liang, H.; Decoutere, S.; Meneghesso, G.; et al. Analysis of threshold voltage instabilities in semi-vertical GaN-on-Si FETs. Appl. Phys. Express 2020, 13, 024004. [Google Scholar] [CrossRef]
  45. Borga, M.; Mukherjee, K.; De Santi, C.; Stoffels, S.; Geens, K.; You, S.; Bakeroot, B.; Decoutere, S.; Meneghesso, G.; Zanoni, E.; et al. Modeling of gate capacitance of GaN-based trench-gate vertical metal-oxide-semiconductor devices. Appl. Phys. Express 2020, 13, 024006. [Google Scholar] [CrossRef]
  46. Mukherjee, K.; De Santi, C.; Borga, M.; You, S.; Geens, K.; Bakeroot, B.; Decoutere, S.; Meneghesso, G.; Zanoni, E.; Meneghini, M. Use of Bilayer Gate Insulator in GaN-on-Si Vertical Trench MOSFETs: Impact on Performance and Reliability. Materials 2020, 13, 4740. [Google Scholar] [CrossRef]
  47. Zhang, Y.; Dadgar, A.; Palacios, T. Gallium nitride vertical power devices on foreign substrates: A review and outlook. J. Phys. D: Appl. Phys. 2018, 51, 273001. [Google Scholar] [CrossRef]
  48. Dadgar, A. Sixteen years GaN on Si. Phys. Status Solidi (B) 2015, 252, 1063–1068. [Google Scholar] [CrossRef]
  49. Zhu, T.; Oliver, R.A. Unintentional doping in GaN. Phys. Chem. Chem. Phys. 2012, 14, 9558–9573. [Google Scholar] [CrossRef] [PubMed]
  50. Wickenden, A.; Koleske, D.; Henry, R.; Twigg, M.; Fatemi, M. Resistivity control in unintentionally doped GaN films grown by MOCVD. J. Cryst. Growth 2004, 260, 54–62. [Google Scholar] [CrossRef]
  51. Chung, B.; Gershenzon, M. The influence of oxygen on the electrical and optical properties of GaN crystals grown by metalorganic vapor phase epitaxy. J. Appl. Phys. 1992, 72, 651–659. [Google Scholar] [CrossRef]
  52. Seager, C.H.; Wright, A.F.; Yu, J.; Götz, W. Role of carbon in GaN. J. Appl. Phys. 2002, 92, 6553–6560. [Google Scholar] [CrossRef]
  53. Zhang, Y.; Wong, H.-Y.; Sun, M.; Joglekar, S.; Yu, L.; Braga, N.A.; Mickevicius, R.V.; Palacios, T. Design space and origin of off-state leakage in GaN vertical power diodes. In Proceedings of the 2015 IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 7–9 December 2015; pp. 35.1.1–35.1.4. [Google Scholar]
  54. Rackauskas, B.; Dalcanale, S.; Uren, M.J.; Kachi, T.; Kuball, M. Leakage mechanisms in GaN-on-GaN vertical pn diodes. Appl. Phys. Lett. 2018, 112, 233501. [Google Scholar] [CrossRef]
  55. Mukherjee, K.; De Santi, C.; Buffolo, M.; Borga, M.; You, S.; Geens, K.; Bakeroot, B.; Decoutere, S.; Gerosa, A.; Meneghesso, G.; et al. Understanding the Leakage Mechanisms and Breakdown Limits of Vertical GaN-on-Si p+nn Diodes: The Road to Reliable Vertical MOSFETs. Micromachines 2021, 12, 445. [Google Scholar] [CrossRef]
  56. Podor, B. Thermal ionization energy of Mg acceptors in GaN: Effects of doping level and compensation. In Proceedings of the SPIE 4412, International Conference on Solid State Crystals 2000: Growth, Characterization, and Applications of Single Crystals, Zakopane, Poland, 10 August 2001; pp. 299–303. [Google Scholar]
  57. Sabui, G.; Parbrook, P.J.; Arredondo-Arechavala, M.; Shen, Z.J. Modeling and simulation of bulk gallium nitride power semiconductor devices. AIP Adv. 2016, 6, 055006. [Google Scholar] [CrossRef]
  58. Yang, J.; Zhao, D.; Jiang, D.; Chen, P.; Zhu, J.; Liu, Z.; Le, L.; He, X.; Li, X.; Zhang, Y.T.; et al. Influence of hydrogen impurities on p-type resistivity in Mg-doped GaN films. J. Vac. Sci. Technol. A 2015, 33, 021505. [Google Scholar] [CrossRef]
  59. Musolino, M.; Van Treeck, D.; Tahraoui, A.; Scarparo, L.; De Santi, C.; Meneghini, M.; Zanoni, E.; Geelhaar, L.; Riechert, H. A physical model for the reverse leakage current in (In,Ga)N/GaN light-emitting diodes based on nanowires. J. Appl. Phys. 2016, 119, 44502. [Google Scholar] [CrossRef] [Green Version]
  60. Han, D.-P.; Oh, C.-H.; Kim, H.; Shim, J.-I.; Kim, K.-S.; Shin, D.-S. Conduction Mechanisms of Leakage Currents in InGaN/GaN-Based Light-Emitting Diodes. IEEE Trans. Electron Devices 2014, 62, 587–592. [Google Scholar] [CrossRef]
  61. Chiu, F.-C. A Review on Conduction Mechanisms in Dielectric Films. Adv. Mater. Sci. Eng. 2014, 2014, 1–18. [Google Scholar] [CrossRef] [Green Version]
  62. Hill, R.M. Hopping conduction in amorphous solids. Philos. Mag. 1971, 24, 1307–1325. [Google Scholar] [CrossRef]
  63. Shan, Q.; Meyaard, D.S.; Dai, Q.; Cho, J.; Schubert, E.F.; Son, J.K.; Sone, C. Transport-mechanism analysis of the reverse leakage current in GaInN light-emitting diodes. Appl. Phys. Lett. 2011, 99, 253506. [Google Scholar] [CrossRef] [Green Version]
  64. Zhou, S.; Lv, J.; Wu, Y.; Zhang, Y.; Zheng, C.; Liu, S. Reverse leakage current characteristics of InGaN/GaN multiple quantum well ultraviolet/blue/green light-emitting diodes. Jpn. J. Appl. Phys. 2018, 57, 051003. [Google Scholar] [CrossRef]
  65. Kuksenkov, D.V.; Temkin, H.; Osinsky, A.; Gaska, R.; Khan, M.A. Origin of conductivity and low-frequency noise in reverse-biased GaN p-n junction. Appl. Phys. Lett. 1998, 72, 1365–1367. [Google Scholar] [CrossRef]
  66. Kim, J.; Kim, J.-Y.; Tak, Y.; Kim, J.; Hong, H.-G.; Yang, M.; Chae, S.; Park, J.; Park, Y.; Chung, U.-I. Investigation of Reverse Leakage Characteristics of InGaN/GaN Light-Emitting Diodes on Silicon. IEEE Electron Device Lett. 2012, 33, 1741–1743. [Google Scholar] [CrossRef]
  67. Jung, E.; Lee, J.K.; Kim, M.S.; Kim, H. Leakage Current Analysis of GaN-Based Light-Emitting Diodes Using a Parasitic Diode Model. IEEE Trans. Electron Devices 2015, 62, 3322–3325. [Google Scholar] [CrossRef]
  68. Look, D.C.; Reynolds, D.C.; Kim, W.; Aktas, O.; Botchkarev, A.; Salvador, A.; Morkoç, H. Deep-center hopping conduction in GaN. J. Appl. Phys. 1996, 80, 2960–2963. [Google Scholar] [CrossRef] [Green Version]
  69. Ferdous, M.S.; Wang, X.; Fairchild, M.N.; Hersee, S.D. Effect of threading defects on InGaN∕GaN multiple quantum well light emitting diodes. Appl. Phys. Lett. 2007, 91, 231107. [Google Scholar] [CrossRef] [Green Version]
  70. Zhao, L.; Chen, L.; Yu, G.; Yan, D.; Yang, G.; Gu, X.; Liu, B.; Lu, H. Tunneling-Hopping Transport Model for Reverse Leakage Current in InGaN/GaN Blue Light-Emitting Diodes. IEEE Photon. Technol. Lett. 2017, 29, 1447–1450. [Google Scholar] [CrossRef]
  71. Tsou, C.-W.; Ji, M.-H.; Bakhtiary-Noodeh, M.; Detchprohm, T.; Dupuis, R.D.; Shen, S.-C. Temperature-Dependent Leakage Current Characteristics of Homojunction GaN p-i-n Rectifiers Using Ion-Implantation Isolation. IEEE Trans. Electron Devices 2019, 66, 4273–4278. [Google Scholar] [CrossRef]
  72. Mazzola, M.S.; Saddow, S.E.; Neudeck, P.G.; Lakdawala, V.K.; We, S. Observation of the D-center in 6H-SiC p-n diodes grown by chemical vapor deposition. Appl. Phys. Lett. 1994, 64, 2730–2732. [Google Scholar] [CrossRef]
  73. Hill, R.M. Poole-Frenkel conduction in amorphous solids. Philos. Mag. 1971, 23, 59–86. [Google Scholar] [CrossRef]
  74. Frenkel, J. On Pre-Breakdown Phenomena in Insulators and Electronic Semi-Conductors. Phys. Rev. 1938, 54, 647–648. [Google Scholar] [CrossRef]
  75. Simmons, J.G. Conduction in thin dielectric films. J. Phys. D Appl. Phys. 1971, 4, 613–657. [Google Scholar] [CrossRef]
  76. Lee, M.; Lee, H.U.; Song, K.M.; Kim, J. Significant improvement of reverse leakage current characteristics of Si-based homoepitaxial InGaN/GaN blue light emitting diodes. Sci. Rep. 2019, 9, 1–6. [Google Scholar] [CrossRef]
  77. Hirsch, L.; Barrieere, A.S.; A. Electrical characterization of InGaN/GaN light emitting diodes grown by molecular beam epitaxy. J. Appl. Phys. 2003, 94, 5014. [Google Scholar] [CrossRef]
  78. Kim, J.; Kim, J.; Tak, Y.; Chae, S.; Kim, J.-Y.; Park, Y. Effect of V-Shaped Pit Size on the Reverse Leakage Current of InGaN/GaN Light-Emitting Diodes. IEEE Electron Device Lett. 2013, 34, 1409–1411. [Google Scholar] [CrossRef]
  79. Zhang, Y.; Sun, M.; Piedra, D.; Azize, M.; Zhang, X.; Fujishima, T.; Palacios, T. GaN-on-Si Vertical Schottky and p-n Diodes. IEEE Electron Device Lett. 2014, 35, 618–620. [Google Scholar] [CrossRef]
  80. Demchenko, D.O.; Diallo, I.C.; Reshchikov, M.A. Yellow Luminescence of Gallium Nitride Generated by Carbon Defect Complexes. Phys. Rev. Lett. 2013, 110, 087404. [Google Scholar] [CrossRef]
  81. Huber, M.; Silvestri, M.; Knuuttila, L.; Pozzovivo, G.; Andreev, A.; Kadashchuk, A.; Bonanni, A.; Lundskog, A. Impact of residual carbon impurities and gallium vacancies on trapping effects in AlGaN/GaN metal insulator semiconductor high electron mobility transistors. Appl. Phys. Lett. 2015, 107, 032106. [Google Scholar] [CrossRef] [Green Version]
  82. Karch, J. Improving on Adjusted R-Squared. Collabra Psychol. 2020, 6. [Google Scholar] [CrossRef]
  83. Cheng, K.; Liang, H.; Van Hove, M.; Geens, K.; De Jaeger, B.; Srivastava, P.; Kang, X.; Favia, P.; Bender, H.; Decoutere, S.; et al. AlGaN/GaN/AlGaN Double Heterostructures Grown on 200 mm Silicon (111) Substrates with High Electron Mobility. Appl. Phys. Express 2011, 5, 011002. [Google Scholar] [CrossRef]
  84. Gao, J.; Hao, M.; Li, W.; Xu, Z.; Mandal, S.; Nemanich, R.; Chowdhury, S. Al2 O3 Insertion Layer for Improved PEALD SiO2 /(Al)GaN Interfaces. Phys. Status Solidi (A) 2018, 215. [Google Scholar] [CrossRef]
  85. Posthuma, N.E.; You, S.; Stoffels, S.; Liang, H.; Zhao, M.; Decoutere, S. Gate Architecture Design for Enhancement Mode P-GaN Gate HEMTs for 200 and 650V Applications. In Proceedings of the 2018 IEEE 30th International Symposium on Power Semiconductor Devices and ICs (ISPSD), Chicago, IL, USA, 13–17 May 2018; pp. 188–191. [Google Scholar]
  86. Robertson, J.; Falabretti, B. Band offsets of high K gate oxides on III-V semiconductors. J. Appl. Phys. 2006, 100, 014111. [Google Scholar] [CrossRef]
  87. Robertson, J. High dielectric constant oxides. Eur. Phys. J. Appl. Phys. 2004, 28, 265–291. [Google Scholar] [CrossRef] [Green Version]
  88. Yatabe, Z.; Hori, Y.; Ma, W.-C.; Asubar, J.T.; Akazawa, M.; Sato, T.; Hashizume, T. Characterization of electronic states at insulator/(Al)GaN interfaces for improved insulated gate and surface passivation structures of GaN-based transistors. Jpn. J. Appl. Phys. 2014, 53. [Google Scholar] [CrossRef] [Green Version]
  89. Ruzzarin, M.; Meneghini, M.; Bisi, D.; Sun, M.; Palacios, T.; Meneghesso, G.; Zanoni, E. Instability of Dynamic- and Threshold Voltage in GaN-on-GaN Vertical Field-Effect Transistors. IEEE Trans. Electron Devices 2017, 64, 3126–3131. [Google Scholar] [CrossRef]
  90. Ruzzarin, M.; Meneghini, M.; De Santi, C.; Meneghesso, G.; Zanoni, E.; Sun, M.; Palacios, T. Degradation of vertical GaN FETs under gate and drain stress. In Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018. [Google Scholar]
  91. Hori, Y.; Mizue, C.; Hashizume, T. Process Conditions for Improvement of Electrical Properties of Al2O3/n-GaN Structures Prepared by Atomic Layer Deposition. Jpn. J. Appl. Phys. 2010, 49, 080201. [Google Scholar] [CrossRef]
  92. Kim, S.; Hori, Y.; Ma, W.-C.; Kikuta, D.; Narita, T.; Iguchi, H.; Uesugi, T.; Kachi, T.; Hashizume, T. Interface Properties of Al2O3/n-GaN Structures with Inductively Coupled Plasma Etching of GaN Surfaces. Jpn. J. Appl. Phys. 2012, 51, 60201. [Google Scholar] [CrossRef]
  93. Saxena, R.S.; Kumar, M.J. Trench Gate Power MOSFET: Recent Advances and Innovations. arXiv 2012, arXiv:1208.5553. [Google Scholar]
  94. Zhang, Y.; Sun, M.; Liu, Z.; Piedra, D.; Hu, J.; Gao, X.; Palacios, T. Trench formation and corner rounding in vertical GaN power devices. Appl. Phys. Lett. 2017, 110, 193506. [Google Scholar] [CrossRef]
  95. Osipov, K.; John, W.; Kemf, N.; Chevtchenko, S.; Kurpas, P.; Matalla, M.; Krüger, O.; Wuerfl, J. Fabrication Technology of GaN/AlGaN HEMT Slanted Sidewall Gates Using Thermally Reflowed ZEP Resist and CHF3/SF6 Plasma Etching. In Proceedings of the International Conference on Compound Semiconductor Manufacturing Technology, CS MANTECH, New Orleans, LA, USA, 13–16 May 2013; pp. 139–142. [Google Scholar]
  96. Thies, A.; Kemf, N.; Chevtchenko, S.; Krüger, O. Formation of Slanted Gates for Gan-Based Hemts by Combined Plasma and Wet Chemical Etching of Silicon Nitride. In Proceedings of the International Conference on Compound Semiconductor Manufacturing Technology, CS MANTECH, New Orleans, LA, USA, 13–16 May 2013; pp. 395–398. [Google Scholar]
  97. Gupta, C.; Ji, D.; Chan, S.H.; Agarwal, A.; Leach, W.; Keller, S.; Chowdhury, S.; Mishra, U.K. Impact of Trench Dimensions on the Device Performance of GaN Vertical Trench MOSFETs. IEEE Electron Device Lett. 2017, 38, 1559–1562. [Google Scholar] [CrossRef]
  98. Zeng, J.; Mawby, P.; Towers, M.; Board, K. Modelling of the quasisaturation behaviour in the high-voltage MOSFET with vertical trench gate. IEE Proc. Circuits Devices Syst. 1996, 143, 28. [Google Scholar] [CrossRef]
  99. Shimizu, R.; Kuribayashi, H.; Hiruta, R.; Sudoh, K.; Iwasaki, H. Mechanism and Control Technology of Trench Corner Rounding by Hydrogen Annealing for Highly Reliable Trench MOSFET. In Proceedings of the 18th International Symposium on Power Semiconductor Devices & IC’s, Naples, Italy, 4–8 June 2006. [Google Scholar]
  100. Simon-Najasek, M.; Huebner, S.; Altmann, F.; Graff, A. Advanced FIB sample preparation techniques for high resolution TEM investigations of HEMT structures. Microelectron. Reliab. 2014, 54, 1785–1789. [Google Scholar] [CrossRef]
  101. Graff, A.; Simon-Najasek, M.; Poppitz, D.; Altmann, F. Physical failure analysis methods for wide band gap semiconductor devices. In Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018. [Google Scholar]
  102. Diehle, P.; Hubner, S.; De Santi, C.; Mukherjee, K.; Zanoni, E.; Meneghini, M.; Geens, K.; You, S.; Decoutere, S.; Altmann, F. Root cause analysis of gate shorts in semi-vertical GaN MOSFET devices. In Proceedings of the 2020 13th International Conference on Advanced Semiconductor Devices and Microsystems (ASDAM), Smolenice, Slovakia, 11–14 October 2020; pp. 10–13. [Google Scholar]
  103. Bisi, D.; Stocco, A.; Meneghini, M.; Rampazzo, F.; Cester, A.; Meneghesso, G.; Zanoni, E. High-Voltage Double-Pulsed Measurement System for GaN-Based Power HEMTs. In Proceedings of the 2014 IEEE International Reliability Physics Symposium, Waikoloa, HI, USA, 1–5 June 2014; pp. CD.11.1–CD.11.4. [Google Scholar]
  104. Lagger, P.; Reiner, M.; Pogany, D.; Ostermaier, C. Comprehensive Study of the Complex Dynamics of Forward Bias-Induced Threshold Voltage Drifts in GaN Based MIS-HEMTs by Stress/Recovery Experiments. IEEE Trans. Electron Devices 2014, 61, 1022–1030. [Google Scholar] [CrossRef]
  105. Stockman, A.; Canato, E.; Tajalli, A.; Meneghini, M.; Meneghesso, G.; Zanoni, E.; Moens, P.; Bakeroot, B. On the origin of the leakage current in p-gate AlGaN/GaN HEMTs. In Proceedings of the 2018 IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 11–15 March 2018. [Google Scholar] [CrossRef]
  106. Ren, B.; Sumiya, M.; Liao, M.; Koide, Y.; Liu, X.; Shen, Y.; Sang, L. Interface trap characterization of Al2O3/GaN vertical-type MOS capacitors on GaN substrate with surface treatments. J. Alloys Compd. 2018, 767, 600–605. [Google Scholar] [CrossRef]
  107. Kaneki, S.; Ohira, J.; Toiya, S.; Yatabe, Z.; Asubar, J.T.; Hashizume, T. Highly-stable and low-state-density Al2O3/GaN interfaces using epitaxial n-GaN layers grown on free-standing GaN substrates. Appl. Phys. Lett. 2016, 109, 162104. [Google Scholar] [CrossRef] [Green Version]
  108. Rosenkranz, R. Failure localization with active and passive voltage contrast in FIB and SEM. J. Mater. Sci. Mater. Electron. 2011, 22, 1523–1535. [Google Scholar] [CrossRef]
  109. Simon-Najasek, M.; Jatzkowski, J.; Große, C.; Altmann, F. A New Technique for Non-Invasive Short-Localisation in Thin Dielectric Layers by Electron Beam Absorbed Current (EBAC) Imaging. In Proceedings of the 38th International Symposium for Testing and Failure Analysis, Pheonix, AZ, USA, 11–15 November 2012; pp. 61–66. [Google Scholar] [CrossRef]
  110. Bisi, D.; Chan, S.H.; Liu, X.; Yeluri, R.; Keller, S.; Meneghini, M.; Meneghesso, G.; Zanoni, E.; Mishra, U.K. On trapping mechanisms at oxide-traps in Al2O3/GaN metal-oxide-semiconductor capacitors. Appl. Phys. Lett. 2016, 108, 112104. [Google Scholar] [CrossRef]
  111. Lagger, P.; Steinschifter, P.; Reiner, M.; Stadtmüller, M.; Denifl, G.; Naumann, A.; Muller, J.; Wilde, L.; Sundqvist, J.; Pogany, D.; et al. Role of the dielectric for the charging dynamics of the dielectric/barrier interface in AlGaN/GaN based metal-insulator-semiconductor structures under forward gate bias stress. Appl. Phys. Lett. 2014, 105, 033512. [Google Scholar] [CrossRef]
  112. Sato, M.; Kamiyama, S.; Matsuki, T.; Ishikawa, D.; Ono, T.; Morooka, T.; Yugami, J.; Ikeda, K.; Ohji, Y. Study of a Negative Threshold Voltage Shift in Positive Bias Temperature Instability and a Positive Threshold Voltage Shift the Negative Bias Temperature Instability of Yttrium-Doped HfO2Gate Dielectrics. Jpn. J. Appl. Phys. 2010, 49, 04DC24. [Google Scholar] [CrossRef]
  113. Choi, M.; Janotti, A.; Van De Walle, C.G. Native point defects and dangling bonds in α-Al2O3. J. Appl. Phys. 2013, 113, 044501. [Google Scholar] [CrossRef]
  114. Swenson, B.L.; Mishra, U.K. Photoassisted high-frequency capacitance-voltage characterization of the Si3N4/GaN interface. J. Appl. Phys. 2009, 106, 064902. [Google Scholar] [CrossRef]
Figure 1. Schematic of a quasi-vertical n+-p+-n-n+ GaN-on-Si trench MOS device.
Figure 1. Schematic of a quasi-vertical n+-p+-n-n+ GaN-on-Si trench MOS device.
Materials 14 02316 g001
Figure 2. Schematic of the quasi-vertical p+-n GaN-on-Si diodes.
Figure 2. Schematic of the quasi-vertical p+-n GaN-on-Si diodes.
Materials 14 02316 g002
Figure 3. Modeling of the reverse-biased characteristics of the p+-n diodes under test [55]. (a) Reverse diode characteristics from T = 50 °C to 130 °C. The two distinct regions identified in (a) are fitted using the Coulombic potential well model in (c) for VCathode from 0.5 V to 30 V (in direction of arrow), and using the variable range hopping model in (d) for VCathode from 70 V to 75 V (in direction of arrow). (b) Displays the good conformity of the fits with adjusted R2 ≈1 using the statistical parameter of adjusted R-square (coefficient of determination).
Figure 3. Modeling of the reverse-biased characteristics of the p+-n diodes under test [55]. (a) Reverse diode characteristics from T = 50 °C to 130 °C. The two distinct regions identified in (a) are fitted using the Coulombic potential well model in (c) for VCathode from 0.5 V to 30 V (in direction of arrow), and using the variable range hopping model in (d) for VCathode from 70 V to 75 V (in direction of arrow). (b) Displays the good conformity of the fits with adjusted R2 ≈1 using the statistical parameter of adjusted R-square (coefficient of determination).
Materials 14 02316 g003
Figure 4. TCAD modeling of vertical p+-n diodes under different p-doping conditions describing the expected breakdown processes (a) TCAD structure visualized at NA = 4 × 1017 cm−3; (b) Electric field evolution for low p doping values illustrates complete depletion (punch-through) of the p-GaN region; (c) TCAD structure visualized at NA = 6 × 1019 cm−3; (d) Electric field evolution for high p doping values illustrates high electric fields (approaching critical field for GaN) at the p+-n interface.
Figure 4. TCAD modeling of vertical p+-n diodes under different p-doping conditions describing the expected breakdown processes (a) TCAD structure visualized at NA = 4 × 1017 cm−3; (b) Electric field evolution for low p doping values illustrates complete depletion (punch-through) of the p-GaN region; (c) TCAD structure visualized at NA = 6 × 1019 cm−3; (d) Electric field evolution for high p doping values illustrates high electric fields (approaching critical field for GaN) at the p+-n interface.
Materials 14 02316 g004
Figure 5. Dielectric composition of the devices under test. The first configuration is an unilayer of 35 nm Al2O3 at the GaN interface, while the second has a bilayer composition: 35 nm of SiO2, then 2.5 nm of Al2O3 at the GaN interface.
Figure 5. Dielectric composition of the devices under test. The first configuration is an unilayer of 35 nm Al2O3 at the GaN interface, while the second has a bilayer composition: 35 nm of SiO2, then 2.5 nm of Al2O3 at the GaN interface.
Materials 14 02316 g005
Figure 6. (a) Schematic of the simulated quasi-vertical trench MOSFET. Electric field distribution around the trench edges at the measured ON-state breakdown voltage visualized for (b) unilayer: Al2O3/GaN devices and (c) bilayer: SiO2/Al2O3/GaN devices.
Figure 6. (a) Schematic of the simulated quasi-vertical trench MOSFET. Electric field distribution around the trench edges at the measured ON-state breakdown voltage visualized for (b) unilayer: Al2O3/GaN devices and (c) bilayer: SiO2/Al2O3/GaN devices.
Materials 14 02316 g006
Figure 7. OFF-state drain step stress performance at VGS = 0 V for a 35-device sample set (a) Comparison of the experimental breakdown values for both unilayer and bilayer cases (b) Localization of the failure spots along the gate finger, collected from observed EL spots (an example of an EL spot shown for reference at top) at corresponding VBR values.
Figure 7. OFF-state drain step stress performance at VGS = 0 V for a 35-device sample set (a) Comparison of the experimental breakdown values for both unilayer and bilayer cases (b) Localization of the failure spots along the gate finger, collected from observed EL spots (an example of an EL spot shown for reference at top) at corresponding VBR values.
Materials 14 02316 g007
Figure 8. TEM analysis of defect at gate trench of a bilayer device at the position of an EL spot (a,b) BF-TEM and (c) ADF-STEM images of an approx. 50 nm thin lamella.
Figure 8. TEM analysis of defect at gate trench of a bilayer device at the position of an EL spot (a,b) BF-TEM and (c) ADF-STEM images of an approx. 50 nm thin lamella.
Materials 14 02316 g008
Figure 9. Comparison of bilayer vs. unilayer Vth shifts relative to the unstressed threshold voltage using (a). Double pulsed characteristics and (b) Vth transient tests.
Figure 9. Comparison of bilayer vs. unilayer Vth shifts relative to the unstressed threshold voltage using (a). Double pulsed characteristics and (b) Vth transient tests.
Materials 14 02316 g009
Figure 10. Slice and View analysis by FIB-SEM along the gate finger of devices from (ac) Wafer A and from (df) Wafer B. (a) and (d) SEM top view images of the devices. The positions of the cross sections are marked by colored, dashed lines. (b,c) and (e,f) SEM cross sectional images. The colored frames correspond to the colored dashed lines in (a) and (d).
Figure 10. Slice and View analysis by FIB-SEM along the gate finger of devices from (ac) Wafer A and from (df) Wafer B. (a) and (d) SEM top view images of the devices. The positions of the cross sections are marked by colored, dashed lines. (b,c) and (e,f) SEM cross sectional images. The colored frames correspond to the colored dashed lines in (a) and (d).
Materials 14 02316 g010
Figure 11. Double pulsed characteristics; (a) Measurements under dark conditions show a ΔVth = 1.2 V and very little recovery in the measured IDVG, 5 min after the stress at Q (5,0); (b) Comparison of current level shifts measured under no light and UV light. Under UV illumination, shifts are lower under during stress conditions, and post-stress recovery is faster.
Figure 11. Double pulsed characteristics; (a) Measurements under dark conditions show a ΔVth = 1.2 V and very little recovery in the measured IDVG, 5 min after the stress at Q (5,0); (b) Comparison of current level shifts measured under no light and UV light. Under UV illumination, shifts are lower under during stress conditions, and post-stress recovery is faster.
Materials 14 02316 g011
Figure 12. Vth transient measurements (a) Shift in Vth (Vth−Vth@10 μs) during stress phase of 100 s at VG,Stress = 5 V. (b) Vth evolution during recovery phase of 100 s at VG,Stress = 0 V under varying light wavelengths from 760 nm to 395 nm, following equivalent stress phases as described in (a), (c) absolute Vth shift during recovery (Vth@100s−Vth@10μs during recovery) versus the light energy.
Figure 12. Vth transient measurements (a) Shift in Vth (Vth−Vth@10 μs) during stress phase of 100 s at VG,Stress = 5 V. (b) Vth evolution during recovery phase of 100 s at VG,Stress = 0 V under varying light wavelengths from 760 nm to 395 nm, following equivalent stress phases as described in (a), (c) absolute Vth shift during recovery (Vth@100s−Vth@10μs during recovery) versus the light energy.
Materials 14 02316 g012
Figure 13. Photoassisted CV method for Dit extraction; (a) Capacitance-time transient during exposure to UV light at VG = 0 V; (b) Capacitance-time transient during filling of traps at VG = 5 V. (c) C-V comparison between detrapped (after UV light) and trapped state. (inset) Electron Dit vs. EG.
Figure 13. Photoassisted CV method for Dit extraction; (a) Capacitance-time transient during exposure to UV light at VG = 0 V; (b) Capacitance-time transient during filling of traps at VG = 5 V. (c) C-V comparison between detrapped (after UV light) and trapped state. (inset) Electron Dit vs. EG.
Materials 14 02316 g013
Figure 14. Energy band diagrams illustrating trapping locations in the Metal/Al2O3/GaN system (a) mechanisms activated at low VG stress. M1: negative ΔVth due to detrapped electrons from oxide towards metal. M2_VLOW: moderate and recoverable positive ΔVth due to injection of electrons from GaN accumulation into the border oxide traps; (b) mechanisms strengthened at high gate stress, M2_VHIGH: strong positive ΔVth due to electrons injection into energetically deeper interface traps or bulk states in the dielectric. M2_VHIGH causes semi-permanent trapping which requires external light energy (inducing de-trapping) for achieving fast recovery of Vth [44].
Figure 14. Energy band diagrams illustrating trapping locations in the Metal/Al2O3/GaN system (a) mechanisms activated at low VG stress. M1: negative ΔVth due to detrapped electrons from oxide towards metal. M2_VLOW: moderate and recoverable positive ΔVth due to injection of electrons from GaN accumulation into the border oxide traps; (b) mechanisms strengthened at high gate stress, M2_VHIGH: strong positive ΔVth due to electrons injection into energetically deeper interface traps or bulk states in the dielectric. M2_VHIGH causes semi-permanent trapping which requires external light energy (inducing de-trapping) for achieving fast recovery of Vth [44].
Materials 14 02316 g014
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Mukherjee, K.; De Santi, C.; Borga, M.; Geens, K.; You, S.; Bakeroot, B.; Decoutere, S.; Diehle, P.; Hübner, S.; Altmann, F.; et al. Challenges and Perspectives for Vertical GaN-on-Si Trench MOS Reliability: From Leakage Current Analysis to Gate Stack Optimization. Materials 2021, 14, 2316. https://doi.org/10.3390/ma14092316

AMA Style

Mukherjee K, De Santi C, Borga M, Geens K, You S, Bakeroot B, Decoutere S, Diehle P, Hübner S, Altmann F, et al. Challenges and Perspectives for Vertical GaN-on-Si Trench MOS Reliability: From Leakage Current Analysis to Gate Stack Optimization. Materials. 2021; 14(9):2316. https://doi.org/10.3390/ma14092316

Chicago/Turabian Style

Mukherjee, Kalparupa, Carlo De Santi, Matteo Borga, Karen Geens, Shuzhen You, Benoit Bakeroot, Stefaan Decoutere, Patrick Diehle, Susanne Hübner, Frank Altmann, and et al. 2021. "Challenges and Perspectives for Vertical GaN-on-Si Trench MOS Reliability: From Leakage Current Analysis to Gate Stack Optimization" Materials 14, no. 9: 2316. https://doi.org/10.3390/ma14092316

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop