Next Article in Journal
Design of Mathematical Model and Selected Coefficient Specifications for Composite Materials Reinforced with Fabric from Waste Tyres
Next Article in Special Issue
Advances in Plasma and Laser Engineering
Previous Article in Journal
Effect of Contact Pressure on Strain Distribution during Compression-Type Bulk Forming Processes
Previous Article in Special Issue
Laser-Treated Steel Surfaces Gliding on Snow at Different Temperatures
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

On Relationships between Plasma Chemistry and Surface Reaction Kinetics Providing the Etching of Silicon in CF4, CHF3, and C4F8 Gases Mixed with Oxygen

1
Department of Computer Science and Technology, Korea University, Sejong 30019, Republic of Korea
2
Department of Electronic Devices & Materials Technology, State University of Chemistry & Technology, 7 Sheremetevsky av., Ivanovo 153000, Russia
3
Department of Control and Instrumentation Engineering, Korea University, Sejong 30019, Republic of Korea
*
Author to whom correspondence should be addressed.
Materials 2023, 16(14), 5043; https://doi.org/10.3390/ma16145043
Submission received: 15 June 2023 / Revised: 8 July 2023 / Accepted: 13 July 2023 / Published: 17 July 2023
(This article belongs to the Special Issue Advances in Plasma and Laser Engineering)

Abstract

:
In this work, we discuss the effects of component ratios on plasma characteristics, chemistry of active species, and silicon etching kinetics in CF4 + O2, CHF3 + O2, and C4F8 + O2 gas mixtures. It was shown that the addition of O2 changes electrons- and ions-related plasma parameters rapidly suppresses densities of CFx radicals and influences F atoms kinetics through their formation rate and/or loss frequency. The dominant Si etching mechanism in all three cases is the chemical interaction with F atoms featured by the nonconstant reaction probability. The latter reflects both the remaining amount of fluorocarbon polymer and oxidation of silicon surface.

1. Introduction

Gaseous fluorocarbons are frequently used in plasma-forming environments for the “dry” patterning of silicon and silicon-based materials during the production of micro- and nanoelectronic devices [1,2,3]. The most comprehensive tool here is the reactive-ion etching (RIE) process that combines the chemical etching (the formation of volatile reaction products due to the interaction of etchant species with surface atoms) and the physical sputtering of the treated surface. Accordingly, usual RIE conditions suggest low gas pressures ( p < 20 mTorr), high input power densities ( w ~0.1 W/cm3) in order to produce high ionization degrees for gas species as well as high ion bombardment energies ( ε i > 100 eV) in order to overcome typical sputtering thresholds [4,5].
The specific feature of all fluorocarbon gas plasmas is the surface polymerization effect provided by nonsaturated CFx radicals. This causes the formation of continuous fluorocarbon polymer film on the etched surface (as well as on any surface contacted with plasma) while the film thickness influences etching kinetics and output RIE characteristics: process rate and selectivity regarding both mask and substrate materials as well as profile shape [6,7,8]. From many published works, it can be understood that (a) the polymerizing ability for any fluorocarbon gas plasma strongly depends on the z / x ratio in the original CxHyFz molecule and (b) various fluorocarbon gases being exposed to one and the same processing conditions produce etching environments with different properties in respect to RIE of Si and SiO2. For example, the CF4 ( z / x = 4) plasma provides fast etching process (over 300 nm/min for Si [5,6]) with decent surface clearness but suffers from the strongly isotropic etching of silicon and low etching selectivity in the SiO2/Si couple [1,6,7]. Such a situation is because the combination of high density of F atoms with low density of CFx (x = 1, 2) radicals in a gas phase takes place. As a result, the low polymerizing ability produces the thinness of even the noncontinuous (islandlike) polymer film on the etched surface. Oppositely, the plasma excited in C4F8 ( z / x = 2) exhibits the much higher polymerizing ability because of the domination of CFx radicals over F atoms in a gas phase [9,10,11]. That is why the corresponding RIE process is featured by the deposition of thick (up to tens of microns [6,7,8]) and continuous polymer film. The latter leads to relatively low etching rates with high etching restudies as well as allows one to obtain nearly vertical etching profiles (as the polymer prevents the interaction of F atoms with side walls) [4,6,7] and high SiO2/Si etching selectivity (as the thicker film on the oxygen-free surface reduces the etching rate for silicon through the worse access of F atoms) [6,8].
The effective method to adjust the etching/polymerization balance in given RIE process is to combine highly or moderately polymerizing fluorocarbon gas with the additive component that suppresses the polymerization. From [11,12,13,14], it can be understood that the addition of O2 always lowers the density of CFx radicals in a gas phase through the CFx + O/O(1D) → CFx−1O + F reaction family as well as causes the oxidative destruction of deposited polymer film. Though mixtures of fluorocarbons with oxygen and other additive gases have been intensively studied during the last decade, the main attention was attracted to CF4− based plasmas. As a result, existing experimental and theoretical works for CF4 + O2 plasma [12,14,15,16,17] (a) provided detailed data on the influence of processing conditions on both gas-phase plasma characteristics and etching kinetics for a wide number of materials, (b) suggested adequate kinetic schemes for both gas-phase and heterogeneous reaction pathways in the presence of oxygen, and (c) confirmed the acceptable correlation between densities of plasma species obtained through experimental and modeling procedures. At the same time, other fluorocarbon gases received much less attention in respect to plasma chemistry and thus look much worse understood compared with CF4. In particular, CHF3 and C4F8 were mainly studied either in the oxygenless gas mixtures [9,18,19,20,21] or as components of CHF3 + Ar + O2 and C4F8 + Ar + O2 plasmas with variable Ar/O2 mixing ratio [10,13,14,22]. In the last case, gas mixtures always contained 50% of CHF3 or C4F8, so that chemical reactions with a participation of oxygen atoms occurred under an excess of the fluorocarbon component. Accordingly, such experimental conditions and related discussions situation do not provide the complete understanding of all possible oxygen-related effects taking placed in O2-rich plasmas. In addition, our previous works [9,10,23] have demonstrated that (a) O2/Ar and CF4/O2 ratios in the CF4 + O2 + Ar gas mixture produce quite different changes in plasma parameters, gas-phase composition, and etching process kinetics; and (b) steady-state densities of atomic fluorine in nonoxygenated CF4−, CHF3−, and C4F8− based plasmas are controlled by different chemical processes. Therefore, any direct analogies between the well-studied CF4 + O2 plasma and the purely studied CHF3 + O2 or C4F8 + O2 plasma do not reflect adequately the situation in the last one and thus are not useful for the corresponding RIE process optimization.
The main idea of this work was to compare the properties of CF4 + O2, CHF3 + O2, and C4F8 + O2 plasmas under identical operating conditions as well as in a wide range of fluorocarbon/oxygen mixing ratios. Accordingly, main research efforts were focused on such questions as (1) to compare the influence of fluorocarbon/oxygen mixing ratios on electrons- and ions-related plasma parameters, (2) to figure out peculiarities of fluorine atom kinetics in both O2-excess and O2-deficient reaction regimes, and (3) to analyze how differences in plasma parameters and compositions are reflected on the RIE process for silicon. Though the silicon itself is not the typical material etched by these plasmas in real device technologies, it represents the suitable test object for investigations of etching chemistry, namely, for the comparison of various gas systems. The reasons are the availability of quite accurate data on sputter yield that allows one to separate contribution of physical and chemical etching pathways as well as the well-known chemical etching mechanism that mainly appears in a form of the spontaneous chemical reaction with F atoms [4]. Therefore, we believe that the analysis of Si etching kinetics at the nearly constant surface temperature provides the easier understanding of the heterogeneous effect related to both etching/polymerization balance and other side factors influencing the interaction of F atoms with the target surface.

2. Experimental and Modeling Details

2.1. Experimental Setup and Methods

Like in our previous works [9,10,11], plasma diagnostics and etching experiments were conducted in the planar inductively coupled plasma (ICP) reactor with cylindrical ( r = 13 cm, l = 16 cm) chamber made from the anodized aluminum. Schematic diagram of experimental equipment may be found in [10]. Plasma was produced using the 13.56 MHz generator, which powered the 3.5-turn copper coil at chamber top side. Another 13.56 MHz power supply was matched with the bottom electrode to generate the negative bias voltage, U d c . The latter was controlled using the high-voltage probe (AMN-CTR, Youngsin Eng.) Constant input parameters were total gas flow rate ( q = 40 sccm), gas pressure ( p = 6 mtor), input power ( W = 700 W, or ~0.8 W/cm3), and bias power ( W d c = 200 W). The single variable input was represented by component fractions y i in CF4 + O2, CHF3 + O2, and C4F8 + O2 gas mixtures. These were controlled through partial gas flow rates q i so that an increase in q O 2 from 0–30 sccm corresponded to y O 2 = 0–75%. The latter surely covers both oxygen-deficient and oxygen-excess reaction regimes.
Electrons- and ions-related plasma characteristics were measured using the rf-compensated double Langmuir probe tool (DLP2000, Plasmart Inc.). The probe head was installed through the viewport on the chamber wall as well as situated in the center of reactor chamber at ~5 cm above the bottom electrode. Measured current-voltage (I–V) curves were treated according to basic statements of Langmuir probe theory for low-pressure and low-electronegative plasmas [4,24]. After this, we obtained the current density ( J + ) and the electron temperature ( T e ). To reduce the overall experimental error caused by the polymerization on probe tips, these were conditioned for ~5 min in 50% Ar + 50% O2 plasma before and after measuring each new point. Previously, we have confirmed the efficiency of this procedure to obtain adequate plasma diagnostics data in high polymerizing fluorocarbon-based plasmas [10,11,13,14]. Unfortunately, we could not provide the direct measurement of the electron energy distribution function (EEDF) because the noisy signal produced high uncertainty in its shape.
Steady-state densities of fluorine atoms were determined by the optical emission spectroscopy (OES) (AvaSpec-3648, JinYoung Tech) using the actinometry method. The emission was taken through the sidewall viewport with the quarts window, and the axial position corresponded to that for the Langmuir probe tool. For the actinometry purpose, we introduced 2 sccm (~4.5%) of Ar in each gas mixture and then, measured emission intensities ( I ) for well-known analytical lines, such as F 703.8 nm ( ε t h = 14.75 eV) and Ar 750.4 nm ( ε t h = 13.48 eV). From [25], it can be understood that both lines are featured by direct electron impact excitations with known process cross-sections [25] as well as exhibit very low lifetimes for corresponding excited states. The latter allows one to neglect their collisional relaxation pathway while the standard actinometrical approach [25,26] yields.
n F = n A r C a c t I F I A r
where n F is the fluorine atom density, n A r = y A r N , N = p / k B T g a s is the total gas density at the given gas temperature T g a s , and C a c t is the actinometric coefficient. Data of Ref. [25] indicate also that (a) actinometric coefficient C a c t = f ( T e ) keeps the almost constant value of ~2.1 at electron temperatures 3–6 eV; and (b) densities of F atoms determined from Equation (1) with the F 703.8 nm/Ar 750.4 nm intensity ratio are in good agreement with those measured by the mass spectrometry. From plasma diagnostics by Langmuir probes, it was found also that the given amount of Ar has no noticeable influence on both electron temperature and plasma density. Therefore, the presence of actinometry gas does not disturb kinetics of plasma active species while the corresponding n F values obtained from Equation (1) may surely be equalized with those in Ar-free plasmas.
Etching kinetics for silicon was investigated using pieces of Si (111) wafers with an average size of ~2 × 2 cm which were placed in the center of the bottom electrode. The water-flow cooling system stabilized its temperature at ~17 °C during processing times up to 5 min. To determine etching rates, a part of sample surface was masked by the photoresist (AZ1512, positive) with a thickness of ~1.5 μm. Accordingly, after each etching experiments we measured the step Δ h between nonmasked and masked areas using the surface profiler Alpha-Step 500 (Tencor).
To determine basic features of our etching system and processing conditions regarding the Si etching kinetics, we conducted a series of preliminary experiments, including plasma diagnostics by Langmuir probes and etching rate measurements. Corresponding results may briefly be summarized as follows:
  • Processing times τ up to 5 min surely provide nearly linear kinetic curves Δ h = f ( τ ) , like those obtained in [27] in given reactor and close range of processing conditions. This points out on the steady-state etching regime as well as allowed one to obtain etching rates simply as R = Δ h / τ .
  • There are no differences in etching kinetics for Si samples situated at different radial positions, except weakly decreasing etching rates toward camber walls. Therefore, one can speak about the spatially independent etching mechanism while the last effect is due to nonuniform radial profiles for densities and fluxes of plasma active species [4]. The latter is kind of fundamental phenomenon for any plasma etching reactor caused by both faster generation of active species in the axial region and their effective losses on chamber walls [2,4].
  • There are no noticeable changes in Si etching rate with increasing amount of simultaneously loaded samples. The absence of loading effect means an excess of active species participating in the chemical etching pathway [4], so that the dependence of etching rate on processing conditions reflects the real heterogeneous process kinetics.
  • There are no differences in Langmuir probe diagnostics data obtained without and with sample loading. Therefore, one can neglect the influence of etching products on gas-phase plasma characteristics as well as to assume plasma to be the undisturbed source of active species.
Obviously, the last two features are due to the low sample size that limits both consumption of active species for chemical reaction and the flux of etching products leaving the surface. It important to note also that all above findings confirm those obtained in our previous works [9,10,11,13,14,20,27]. The latter assumes the applicability of earlier used statements and approaches for the analysis of experimental and model-provided data.

2.2. Approaches for the Analysis of Plasma Chemistry

In previous works, there were several formally successful attempts to develop comprehensive self-consistent models for oxygen-free CF4−, CHF3−, and C4F8− based plasmas [18,19,21,28,29,30]. Corresponding computational algorithms matched the extended (including reaction sets for positive and negative ions) plasma chemistry module with the input power balance equation that finally allowed one to obtain model-predicted electron temperature and electron density. Accordingly, the comparison of these data with experimental ones provided the model adequacy criteria as well as characterized the predictive potential of the model. At the same time, one can conclude that there is not a kind of “perfect case” while the agreement between model and experiment may be worse or better for various parameters within one model and/or for different models related to one and the same gas system. In our opinion, the problem is the uncertainty in the power balance module because many principal cross-sections determining electron energy losses in collisions with non-saturated CxHyFz species (for instance, vibrational and/or low-threshold electronic excitations) are not known. As such, these were either ignored or roughly evaluated using various physical approaches and analogies with “similar” species. Probably, some of those appeared to be far from the reality, so that the model performance is closely linked with fractions of corresponding components in a gas phase. In oxygen-containing plasmas, the additional problem is the lack of cross-section data for essential gas-phase products, such as FO, CFO, and CF2O. Such a situation does not allow to perform an adequate quantitative description for both electron energy loss channels and ionization/recombination balance as well as produces critical uncertainties in the self-consistent modeling algorithm. That is why we applied a simplified 0-dimensional (global) model that involved experimental data on T e and J + as input parameters, accounted for the neutral chemistry only, and required the limited amount of ionization rate coefficients for dominant neutral components to evaluate the effective ion mass [11,13,14]. Though it may look like a kind of downgrade compared with previous works, such an approach equalizes the model accuracy for all three gas systems and thus provides the adequate comparison of their basis properties.
The set of chemical reaction with corresponding rate coefficients for each gas mixture was taken from our previous model-based works dealt with CF4 + Ar/O2, refs. [13,17,23] CHF3 + Ar/O2 [31] and C4F8 + Ar/O2 [13,32] plasmas. Earlier, these kinetic schemes have been used by several authors and demonstrated the decent similarity between model-predicted and measured F atom densities [11]. Table 1, Table 2 and Table 3 represent reduced reaction sets that include only the most important processes determining steady-state densities of neutral species. All these are either involved in the discussion or needed to trace basic reaction mechanisms. Some reactions are written in the generalized form to group those featured by similar source species and/or byproducts. As given gas systems have many common particles, Table 2 and Table 3 contain only additive reactions to be merged with those from Table 1.
Basic model approaches were formulated as follows:
  • The electron energy distribution function may be approximated by Maxwellian one. The applicability of such an assumption for the given set of plasma excitation conditions is surely supported by direct measurements of EEDF in CF4− based plasmas [12,28] as well as indirectly follows from the quite acceptable agreement between model-predicted and measured plasma parameters in CHF3− [21,29] and C4F8− [18,19] based plasmas. A similar conclusion can also be made for O2 plasma in the absence of fluorocarbon components [33,34]. The common reason is the high ionization degree for neutral species ( n + / N > 10−4, where n + is the total density of positive ions) that causes the essential role equilibrium electron–electron collisions in the overall electron energy balance. Accordingly, rate coefficients for electron-impact reactions (R1–R9 in Table 1, R25–R29 in Table 2 and R40–R44 in Table 3) may be obtained using fitting expressions k = f ( T e ) [12,18,28,29,33].
  • The gas temperature is mainly controlled by gas pressure (since it determines gas density, collision frequency and heat transfer coefficient) and input power (since it represents a gas heating source) [4,35,36] as well as exhibits rather close values for many molecular gases [34]. In experiments, we found that the temperature of external chamber wall is almost not sensitive to variations in both gas mixing ratios and the type of the fluorocarbon components for any fixed “plasma on” time. The latter confirms that p ,   W = const really provides T g a s ≈ const. Similarly to our previous works [11,14], we took the value of 600 K (as it was measured for both CF4 and O2 plasmas for given gas pressure and input power density of ~0.7 W/cm3 [35]) and then obtained rate coefficients for gas-phase atom–molecular reactions (R10–R21 in Table 1, R30–R36 in Table 2 and R45–R47 in Table 3) using Arrhenius-like expressions from [36].
  • The decay of atoms and radicals on chamber walls (R22–R24 in Table 1, R37–R39 in Table 2, and R48 in Table 3) follows the Eley–Rideal (the first-order in respect to gas-phase species) recombination kinetics. In this case, corresponding rate coefficients are k ( r + l ) υ T γ / 2 r l , where r and l are radial and axial dimensions of the reactor chamber, respectively, υ T = 8 k B T g a s / π m is the thermal velocity for the particle with a mass of m , and γ is the recombination probability [12,18,28,29,30]. For simplicity, we assumed all recombination probabilities to be not sensitive to both type of fluorocarbon component and gas mixing ratios due to thermally stable chamber wall conditions. Definitely, the last assumption looks to be arguable because the fraction of O2 may influence chamber wall conditions through the transition between polymer-rich and polymer-free states. Unfortunately, there is no reasonable theoretical approach to account for this phenomenon, and the available experimental data on recombination probabilities are for polymer-free surfaces. Therefore, one can refer only for several evidence sources that the postulation of γ = const does not make a problem, at least for given gas systems and processing conditions. For instance, Kimura et al. [12] reported the acceptable agreement between model-predicted and measured F atoms densities in CF4 + O2 plasma in the range of 0–80% O2. The same or even the better result was produced by our model using Kimura’s plasma diagnostics data as inputs [13]. In addition, the model of Rauf et al. [19] as well as our model [13] demonstrated the good agreement with measured densities of CF2 and CF radicals as functions of input power in the C4F8 plasma. Obviously, this parameter also influences the polymer deposition rate and thus affects the chamber wall condition. Finally, we obtained the evident similarity between measured and calculated F atom densities in CF4 + CHF3 + Ar and CF4 + C4F8 + Ar plasmas as functions of fluorocarbon gas ratios [11]. As corresponding components are featured by different polymerizing abilities, the transition between polymer-rich and polymer-free chamber wall condition surely took place.
  • The electronegativity of CF4, CHF3, C4F8, and O2 plasmas at p < 20 mTorr is low enough to equalize densities of electrons ( n e ) and positive ions ( n + ) as well as to neglect the effect of negative ions on the ion Bohm velocity [9,14,34]. In this case, the total density of positive ions may be extracted from measured J + simply as
    n + J + 0.61 e e T e / m i   ,
    where m i = ( y X + i / m X + i ) 1 is the effective ion mass while m X + i and y X + i are partial ion masses and fractions, respectively. For each type of positive ion, the last parameter may be evaluated as y X + ~ k i z y X / 1 / m X + [11,14], where y X is the fraction of corresponding neutral particle with the ionization rate coefficient of k i z = f ( T e ) [12,18,19,34].
Finally, we would like to mention that used kinetic schemes and modeling approaches provide the principally correct description of plasma chemistry in given gas systems. Such an assertion is based on the general reasonability of our model-predicted data, on their qualitative similarity with those obtained by other authors, and on the agreement with experiments, as will be shown below.
Table 1. Basic reaction set describing the chemistry of neutral species in CF4 + O2 plasma.
Table 1. Basic reaction set describing the chemistry of neutral species in CF4 + O2 plasma.
Process k Process k
1.CFx + e → CFx−1 + F + e f ( T e ) 17.FO + O/O(1D) → O2 + F f ( T g a s )
2.CFx + e → CFx−1+ + F + 2e f ( T e ) 18.F2 + O/O(1D) → FO + F f ( T g a s )
3.CFx + e → CFx−2 + 2F + e f ( T e ) 19.CF + O2 → CFO + O f ( T g a s )
4.F2 + e → 2F + e f ( T e ) 20.C + O2 → CO + O f ( T g a s )
5.O2 + e → O + O/O(1D) + e f ( T e ) 21.CO + F → CFO
6.O + e → O(1D) + e f ( T e ) 22.CFx → CFx(s) f ( γ )
7.CFxO + e → CFx−1O + F + e f ( T e ) CFx(s) + F → CFx+1
8.FO + e → F + O + e f ( T e ) CFx(s) + O → CFxO
9.COx + e → COx−1 + O + e f ( T e ) 23.F → F(s) f ( γ )
10.F2 + CFx → CFx+1 + F f ( T g a s ) F(s) + F → F2
11.CFx + F → CFx+1 f ( T g a s ) F(s) + CFx → CFx+1
12.CFx + O/O(1D) → CFx−1O + F f ( T g a s ) F(s) + O → FO
13.CFx + CFO → CF2O + CFx−1 f ( T g a s ) 24.O → O(s) f ( γ )
14.2CFO → CF2O + CO f ( T g a s ) O(s) + CFx → CFxO
15.CFO + F → CF2O f ( T g a s ) O(s) + O → O2
16.CFxO + O/O(1D) → Fx + CO2 f ( T g a s ) O(s) + F → FO
Notes: (a) For R1−R9, k = A T e B e x p ( C / T e ) , where parameters A , B , and C are known from [12,18,28]; (b) For R10–R21, k = A ( T g a s / 298 ) n e x p ( E a / R T g a s ) , where parameters A , n and are known from [37]; (c) For R22–R24, k ( r + l ) υ T γ / 2 r l , where υ T = f ( T g a s ) is the thermal velocity for a gas-phase reactant, and the parameter γ is known from [12,18,29].
Table 2. Additive (to those specified in Table 1) reactions to describe the chemistry of neutral species in CHF3 + O2 plasma.
Table 2. Additive (to those specified in Table 1) reactions to describe the chemistry of neutral species in CHF3 + O2 plasma.
Process k Process k
25.CHFx + e → CHFx−1 + F + e f ( T e ) 35.CHFx + O → CFxO + H f ( T g a s )
26.CHFx + e → CFx + H + e f ( T e ) 36.CHFx + O → CFx−1O + HF f ( T g a s )
27.CHFx + e → CFx−1 + HF + e f ( T e ) 37.CFx → CFx(s) f ( γ )
28.H2 + e → 2H + e f ( T e ) CFx(s) + H → CHFx
29.HF+ e → H + F + e f ( T e ) 38.F → F(s) f ( γ )
30.F2 + H → HF + F f ( T g a s ) F(s) + CHFx → CHFx+1
31.H2 + F → HF + H f ( T g a s ) 39.H → H(s) f ( γ )
32.CFx + H → CFx−1 + HF f ( T g a s ) H(s) + CFx → CHFx
33.CHFx + F → CFx + HF f ( T g a s ) H(s) + H → H2
34.CHFx + H → CHFx−1 + HF f ( T g a s ) H(s) + F → HF
Notes: (a) For R25−R29, k = A T e B e x p ( C / T e ) , where parameters A , B , and C are known from Refs. [21,29,31]; (b) For R30–R36, k = A ( T g a s / 298 ) n e x p ( E a / R T g a s ) , where parameters A , n , and E a are known from [37]; (c) For R37–R39, k ( r + l ) υ T γ / 2 r l , where υ T = f ( T g a s ) is the thermal velocity for a gas-phase reactant, and the parameter γ is known from [29,31].
Table 3. Additive (to those specified in Table 1) reactions to describe the chemistry of neutral species in C4F8 + O2 plasma.
Table 3. Additive (to those specified in Table 1) reactions to describe the chemistry of neutral species in C4F8 + O2 plasma.
Process k Process k
40.C4F8 + e → 2C2F4 + e f ( T e ) 45.C2F4 + F → CF2 + CF3 f ( T g a s )
41.C4F8 + e → C3F6 + CF2 + e f ( T e ) 46.C2F4 + O → CFO + CF3 f ( T g a s )
42.C2F4 + e → 2CF2 + e f ( T e ) 47.C2F4 + O → CF2O + CF2 f ( T g a s )
43.C2F4 + e → C2F3 + F + e f ( T e ) 48.F → F(s) f ( γ )
44.C2F3 + e → CF2 + CF + e f ( T e ) F(s) + C2F3 → C2F4
Notes: (a) For R40−R44, k = A T e B e x p ( C / T e ) , where parameters A , B , and C are known from [18,19,30]; (b) For R45–R47, k = A ( T g a s / 298 ) n e x p ( E a / R T g a s ) , where parameters A , n , and E a are known from [37]; (c) For R48, k ( r + l ) υ T γ / 2 r l , where υ T = f ( T g a s ) is the thermal velocity for a gas-phase reactant, and the parameter γ is known from [18,19,20].

2.3. Approaches for the Analysis of Etching/Polymerization Kinetics

To analyze the Si etching kinetics as well as to determine etching mechanism, we used the phenomenological approach discussed in our previous works [10,11,13,14,20,23]. The basic concept was developed in [4,38,39,40,41] and may be summarized as follows:
  • When the ion bombardment energy exceeds the sputtering threshold, the total etching rate R may be represented as a combination of two summands, R p h y s + R c h e m [40], where R p h y s and R c h e m are rates of physical sputtering and heterogeneous chemical reaction supplied by neutral etchant species. Since the latter sometimes exhibits the nonzero energy threshold and/or leads to the formation of low volatile reaction products, the dependence of R c h e m on processing conditions may be sensitive to energy fluxes coming with nonreactive species, in particular with positive ions. Such processes are known as the ion-assisted chemical reaction.
  • The rate of physical sputtering R p h y s = Y S Γ + [40,41], where Y S ~   M i ε i [9,10,11] is the sputter yield,   M i = m i N A is the effective (ion-type-averaged) ion molar mass, ε i = | U f U d c | is the ion bombardment energy, U f 0.5 T e ln ( m i / 2 π m e ) is the floating potential, and Γ + J + / e is the flux of positive ions. Accordingly, the parameter M i ε i Γ + adequately traces the behavior of R p h y s with variation of processing conditions [9,11,12]. The similar rule can also be applied to other ion-driven effects on the etched surface, such as the removal of the fluorocarbon polymer film, the destruction of chemical bonds between surface atoms, and the ion-stimulated desorption of low volatile reaction products.
  • The rate of heterogeneous chemical reaction R c h e m = γ R Γ F [22,23,32,40], where Γ F n F υ T / 4 is the fluorine atom flux, γ R s 0 ( 1 θ ) is the effective reaction probability [9,10,11], θ is the fraction of adsorption sites occupied by chemically inert species, ( 1 θ ) is the fraction of vacant adsorption sites, and s 0 is the sticking coefficient of etchant species to the vacant adsorption site. That is why the parameter γ R is not only the exponential function of surface temperature T S (as it typically takes place for the spontaneous reaction mechanism) but also depends on many plasma-related factors that retard or accelerate the chemical reaction through the change in ( 1 θ ) . For instance, in strongly polymerizing plasmas, γ R decreases with increasing polymer film thickness, as the latter becomes to be enough to provide Γ F / Γ F << 1, where Γ F is the flux of F atoms on the polymer film/etched surface interface. As such, the correlation of γ R with fluxes of plasma active species at T S = const provides useful information on the mechanism of chemical etching pathway.
  • The formation of the fluorocarbon polymer film is provided by nonsaturated CHxFy (x + y < 3) radicals while the polymerization ability increases under the fluorine-poor conditions [6,7,8]. Accordingly, the Γ p o l / Γ F ratio, where Γ p o l is the total flux of polymerizing radicals, traces the polymer deposition rate while parameters Γ p o l / M i ε i Γ + Γ F and Γ p o l / Γ O Γ F reflect relative changes in the polymer film thickness due to physical (destruction by ion bombardment) and chemical (etching by O atoms) mechanisms [9,11,14].

3. Results and Discussion

The basic properties of nonoxygenated CF4−, CHF3− and C4F8− plasmas have been studied, analyzed, and compared in our previous works [9,10,11,20]. As such, the discussion below will cover only the issues that seem to be important for understanding plasma chemistry and etching kinetics in the presence of oxygen. In addition, since it was impossible to perform the quantitative analysis for both electron energy loss channels and ionization/recombination balance (see our arguments in Section 2.2), the effect of O2 on both electron temperature and plasma density may be discussed only in a suggestive scale, with accounting for general regularities of plasma chemistry and model-predicted densities of neutral species. As such, plasma diagnostics data shown in Figure 1 may briefly be commented as follows:
  • Electron temperature (Figure 1a) exhibits a weak growth in the CF4 + O2 plasma (3.6–4.0 eV for 0–75% O2) but decreases gradually in both CHF3 + O2 (5.2–4.3 eV for 0–75% O2) and C4F8 + O2 (4.7–4.2 eV for 0–75% O2) plasmas. Perhaps, the first phenomenon is caused by increasing fraction of atomic species, as shown in Figure 2a. As collisions with molecules surely provide higher electron energy losses for both excitation (due to the low-threshold vibrational and electronic states) and ionization (due to generally higher ionization cross-sections for bigger-sized particles), a decrease in the overall electron energy loss takes place. Accordingly, the opposite situation in the CHF3 + O2 plasma reflects increasing electron energy losses, since decreasing tendency for originally dominating HF molecules meets the growth of multiatomic reaction products, such as FO, CFxO, and COx (Figure 2b). For instance, CO2 has three vibrational modes [42], and corresponding cross-sections are featured by higher absolute values and wider maximum compared with those for HF [42,43]. Probably, the similar mechanism also does work in the C4F8 + O2 plasma, where an increase in y O 2 changes the dominant gas-phase component from CF2 radicals to CF4, CO, CO2, and CF2O (Figure 2c).
  • Plasma density (Figure 1b) exhibits decreasing tendencies vs. y O 2 in all three gas systems. In the case of CF4 + O2 plasma, the evident reason is the 10-times lower rate coefficients for the ionization of F (~5.8 × 10−11 cm3/s at T e = 3 eV) and F2 (~1.5 × 10−11 cm3/s at T e = 3 eV) compared with CFx (~1.5 × 10−10 cm3/s for x = 4 and ~5.0 × 10−10 cm3/s for x = 3 at T e = 4 eV). Therefore, one can easily imagine that an increases in y O 2 suppresses the total ionization frequency (and thus production rates for electrons and positive ions) despite weakly increasing T e . Similar situations for CHF3 + O2 and C4F8 + O2 plasmas probably result from decreasing ionization rate coefficients for all neutral species. The indirect proof is the deeper fall of n + in the CHF3 + O2 plasma, where the stronger decrease in T e takes place. An additional reason may relate to increasing densities of more electronegative oxygen-containing species that accelerates losses of positive ions and electrons through ion–ion recombination and dissociative attachment, respectively.
  • Negative dc bias voltage (Figure 1c) demonstrates the monotonic growth vs. y O 2 in all three gas systems. This is because the decreasing ion flux (as it directly follows from the change in n + ) weakens the compensation for an excess negative charge under the condition of W d c = const. At the same time, weak increase in ion bombardment energies ( ε i = 285–303 eV for CF4 + O2, 262–302 eV for CHF3 + O2, and 306–309 eV for C4F8 + O2 at 0–75% O2) is overcompensated by opposite tendencies of both Γ + and effective ion masses. As a result, the parameter M i ε i Γ + always demonstrates the monotonic decrease toward O2-rich plasmas (Figure 1d). As such, the common feature is that the addition of O2 reduces the ion bombardment intensity.
Based on the above data on electrons-related plasma parameters, we performed the analysis of plasma chemistry with the focus on the fluorine atoms kinetics.
In pure CF4 plasma, dominant gas-phase components are original CF4 molecules, CF3 radicals, and fluorine atoms with the condition of n C F 4 > n C F 3 n F [9,10,11] (Figure 2a). Accordingly, reactions R1 for x = 3, 4 and R2 for x = 4 compose ~ 80% of the total F atom formation rate (Figure 3a). The loss of F atoms is mainly provided by heterogeneous recombination pathways, such as F + F → F2 and F + CFx → CFx+1 inside R22 and R23. The addition of O2 rapidly lowers densities of CFx radicals due to their conversion into CF2O, CFO, CO and CO2 species (Figure 2a) in R12 ( k 12 ~ 6.1 × 10−11 cm3/s for x = 1 and ~3.2 × 10−11 cm3/s for x = 2, 3). The domination of CF2O over oxygen-containing reaction products as well as the drastic growth of their density at y O 2 < 40% is supported by gas-phase processes R13 ( k 13 ~ 1.1 × 10−11 cm3/s for x = 2 and ~ 7.0 × 10−13 cm3/s for x = 1), R14 ( k 14 ~ 1.0 × 10−11 cm3/s) and R15 ( k 15 ~ 8.0 × 10−11 cm3/s). The condition y O 2 > 40% causes the more than ten-time decrease in n C F x as well as reduces rates of R12 and R13 due to the fluorocarbon-deficient reaction regime. Accordingly, this produces the maximum on the n C F 2 O = f ( y O 2 ) curve, as shown in Figure 2a. As for the kinetics of F atoms, there are two principal effects influencing their formation rate. First, an increase in y O 2 accelerates the formation of F2 molecules through R16 ( k 16 ~ 2.0×10−11 cm3/s for x = 2) and R23 that sufficiently influences the rate of R4 (Figure 3a). As a result, the latter overlaps the total effect from R1 and R2 at y O 2   > 10–15% O2 as well as exhibits the maximum at ~40% O2 following the change in n F 2 . Second, the appearance of oxygen adds new F atom formation pathways, such as R7, R8, R12, and R16–R18. From Figure 3a, it can be understood that the superposition of R4, R7, and R8 produces the maximum on the total F atom formation rate (and thus on the F atom density, as shown in Figure 2a) while noticeable contributions of R16 and R17 appear only at y O 2 > 60%. The faster growth of n F at 0–40% O2 is due to the simultaneously decreasing F atom losses in F + CFx → CFx+1 pathways inside R22 and R23.
In pure CHF3 plasma, dominant gas-phase components are HF molecules and CFx (x = 1, 2) radicals (Figure 2b). The phenomenon of HF is due to the effective formation of these species in gas-phase reactions R32 ( k 32 ~1.2 × 10−11 cm3/s for x = 1, ~2.2 × 10−11 cm3/s for x = 2 and ~7.9 × 10−11 cm3/s for x = 3), R33 ( k 33 ~3.3 × 10−11 cm3/s for x = 1 and 2 while ~1.6 × 10−13 for x = 3), and R34 ( k 34 ~3.1 × 10−10 cm3/s for x = 1 and 2). Accordingly, the decomposition of HF in R29 represents the essential (~45%) part of the total F atom formation rate while almost the same contribution comes from the couple of R1 and R2 (Figure 3b). Another important feature is that the loss of F atoms through R33 dominates over their heterogeneous recombination in R22, R23, R38, and R39. That is why the CHF3 plasma exhibits the higher F atom formation rate compared with CF4 (due to the condition of k 29 > k 1 + k 2 for x = 4) but is characterized by the lower F atom density. As in the previous case, the addition of O2 suppresses densities of fluorocarbon radicals as well as increases the density of F atoms (Figure 2b). The first effect is due to the oxidation of both CFx and CHFx radicals into CFxO species in R12, R35 ( k 35 ~ 1.1 × 10−11 cm3/s for x = 2), and R36 ( k 36 ~3.5 × 10−11 cm3/s for x = 1). In particular, the above three processes together with R21, R23, and R24 provide increasing densities of FO and CFO molecules, and the consumption of CFO in R13–R15 produces CF2O. That is why the latter becomes the essential gas-phase component in the range of 20–60% O2. From Figure 3b, it can be understood also that the total add-on from R4, R7, and R8 to the total F atom formation rate does not overcome the level of R29 but only compensates for decreasing rates of R1 and R2. The contribution from atom–molecular reactions R12, R16, and R17 overtakes R29 at y O 2 > 50%, but their cumulative effect does not reach the level of R29 in pure CHF3 plasma. As such, one can obtain the decreasing tendency for the total F atom formation rate (Figure 3b) that contradicts with increasing F atom density (Figure 2b). Such a phenomenon is due to the more rapid decrease in the F atom loss frequency in R33 due to decreasing densities of CHFx species. The similar mechanism was found to explain the very slow decrease in the F atom density with increasing Ar fraction in the CHF3 + Ar plasma [9,10]. Another principal difference of CHF3 + O2 gas system compared with CF4 + O2 is that an increase in y O 2 causes the slower growth of R5 due to a decrease in both T e and n e . This limits reaction rates with a participation of O and O(1D) species, including those resulting in the production of F atoms.
In pure C4F8 plasma, the gas phase is mostly composed by nonsaturated fluorocarbon radicals CFx (x = 1, 2, 3) and C2Fx (x = 3, 4) (Figure 2c). These particles appear from original C4F8 molecules in R40 and R41 as well as result from the further decomposition of corresponding reaction products through R1 for x = 2 and R42–R44. Accordingly, the main source of F atoms is R1 for x = 1–3 (Figure 3c) while their decay, in addition to heterogeneous processes R22, R23, and R48, is noticeably contributed by R45 ( k 45 ~ 4.0 × 10−11 cm3/s). Due to the last process, the C4F8 plasma exhibits the relatively low n F value compared with that for CF4 (Figure 2c) thought is characterized by higher F atom formation rate (Figure 3c). The addition of O2 also initiates the decomposition of CFx radicals through R12 but results in much weaker decrease in their densities compared with CF4 + O2 plasma (Figure 2c). The reason is the effective loss of O2 molecules in R19 ( k 19 ~ 3.2 × 10−11 cm3/s) and R20 ( k 20 ~ 1.5 × 10−11 cm3/s) that provides their conversion into CO and CO2 species. As both CO and CO2 have lower dissociation rate coefficients compared with O2 itself (for example, ~5.2 × 10−10 cm3/s for R9 with x = 1 vs. ~3.1 × 10−9 cm3/s for R5 with a formation of O(1D)), such situation results in sufficiently lower O and O(1D) densities compared with CF4 + O2 plasma at identical y O 2 values. The lack of active oxygen reduces the significance of R12, R16 and R17 in respect to the production of F atoms in the O2-rich plasmas as well as leads to a decrease in the total F atom formation rate toward higher y O 2 values, as shown in Figure 3c. At the same time, one can also obtain the rapid decrease in the F atom loss frequency in R45 due to the same change in the density of corresponding source species, C2F4. The slower fall of n C 2 F 4 at y O 2 < 50% (by ~ 4 times for 0–50% O2) just compensates for a decrease in the total F atom formation rate and thus results in n F ≈ const. Accordingly, the faster decrease in n C 2 F 4 at y O 2 > 50% (by more than 1000 times for 50–75% O2) causes the growth of F atom density. It should be mentioned that our kinetic data for CF4 + O2 and C4F8 + O2 plasmas are in principal agreement with experimental results of Hayashi et al. [44]. In particular, they also obtained that the last gas system exhibits much higher density of CF2 radicals as well as is featured by the lower density of O atoms. Moreover, their conclusion was that an essential O atom loss pathway in the C4F8 + O2 plasma is namely their interaction with CF2. Accordingly, our model also shows that R12 for x = 2 together with O + CF2 → CF2O channels inside R22 and R44 provide the dominant part of the total O atom loss rate at y O 2 > 20%. Therefore, data of Figure 3 adequately reflect the real situation.
Figure 4 illustrates the results of plasma diagnostics by OES as well as compares model-predicted and measured F atom densities. From Figure 4a, it can be seen that the intensity of Ar 750.4 nm line depends on both type of fluorocarbon gas and y O 2 even at = const. This fact reasonably reflects the nonconstancy of excitation functions k e x , A r n e , where k e x , A r = f ( T e ) is the excitation rate coefficient. When returning back to Figure 1, one can conclude that the behavior of I A r confirms the general reasonability of plasma parameters obtained after the diagnostics by Langmuir probes. Really, the very weak change of I A r in the CF4 + O2 plasma agrees with the nearly constant k e x , A r n e (2.2–2.0 s−1 for 0–75% Ar) produced by opposite changes in electron temperature and electron density. Accordingly, the highest I A r as well as the sharper slope for I A r = f ( y O 2 ) curve in the CHF3 + O2 plasma are due to the stronger change in k e x , A r n e (8.1–2.2 s−1 for 0–75% Ar), as follows from features of electron temperature and electron density. It is clear also that emission intensities for F 703.8 nm line (Figure 4b) represent the overall effect from two factors, such as excitation function and F atom density. From Figure 4c, it can be understood that that model-predicted densities of F atoms in all three gas mixtures are in the satisfactory agreement with those obtained by the actinometry. The fact that measured and model-yielded n F = f ( y O 2 ) curves for each gas mixture are shifted one in respect to each other by more than the experimental error does not contradict the above conclusion. The reason is that both model and experiment are based on many approaches as well as involve many kinetic data (in particular, process cross-sections) which also are characterized by their own errors. Therefore, the principally important thing is that that the actinometry confirms model-predicted behaviors of F atoms densities as well as does not result in abnormal differences in corresponding absolute values. The latter means that constant recombination probabilities for atoms and radicals in the plasma modeling procedure are really not a problem for the model adequacy issue. Nevertheless, though this conclusion is valid for all three gas mixtures, the physical reasons may be different. In the CF4 + O2 plasma, one can suggest no sufficient differences in chamber wall conditions for O2−pure and O2-rich gas mixtures due to the low polymerizing ability for CF4 itself. As such, recombination probabilities may really be nearly constant. In both CHF3 + O2 and C4F8 + O2 plasmas, the loss of atoms and radicals is sufficiently (sometimes—dominantly, as was mentioned above) contributed by gas-phase processes. As a result, steady-state densities of corresponding species appear to be low sensitive to changes in heterogeneous recombination kinetics, if those really take place. For instance, the twofold increase in F atom recombination probability causes the less than 10% response in model-predicted species densities in both O2−pure and O2-rich C4F8 + O2 plasmas. Finally, we would like to underline that fluorine atoms are closely matched with other species through both gas-phase and heterogeneous reactions. Therefore, data of Figure 4c reveal that our plasma diagnostic and model-based analysis of plasmas chemistry provides the correct understanding of main kinetic effects influencing the steady-state plasma composition.
From Figure 5a, it can be seen that the Si etching rates in CF4 + O2, CHF3 + O2 and C4F8 + O2 plasmas are characterized by different absolute values but exhibit similar nonmonotonic changes with increasing vs. y O 2 . The evaluation of R p h y s = Y S Γ + using experimental data on Si sputtering yields ( Y S ≈ 0.18–0.29 atom/ion at ion energies of 200–300 eV [41,45]) indicates that the condition R p h y s << R , where R is the measured Si etching rate, always takes place. The latter means that (a) the dominant etching mechanism in all three cases is the heterogeneous chemical reaction R49: Si(s.) + xF → SiFx(s.) → SiFx (where (s.) relate to the surface-bonded state), characterized by the rate of R c h e m = R R p h y s ; (b) the nonmonotonic R = f ( y O 2 ) curves are completely related to identical behaviors of R c h e m ; and (c) the shape of R c h e m = f ( y O 2 ) curves in C4F8 + O2 plasma contradicts with the monotonic change in the F atom flux that directly points out on the nonconstant effective reaction probability γ R R c h e m / Γ F . In reality, it was found that all three reaction probabilities are sensitive to the O2 fraction in a feed gas even at the nearly constant surface temperature (Figure 5b).
In our opinion, the corresponding phenomena may be explained as follows:
  • In weakly-polymerizing CF4 + O2 plasma (as it combines lowest polymer deposition rate and the highest polymer etching rate by oxygen atoms, as follows from Figure 5c,d), the behavior of γ R contradicts with decreasing polymer film thickness but demonstrates the similar change with the parameter M i ε i Γ + . At the same time, such correlation seems to be the formal thing, since the spontaneous mechanism of R49 must be lowly sensitive to the ion bombardment intensity [4,45]. Moreover, an increase in the ion bombardment intensity may even lower the Si + F reaction probability due to the ion-stimulated desorption of etchant species [46,47,48]. Therefore, when assuming the rather thin or the noncontinuous fluorocarbon polymer film which does not influence the etching kinetics, the most realistic reason is the passivation of etched surface by oxygen atoms. The latter may either work through the oxidation of silicon as R50: Si(s.) + O → SiO(s.) or appear due to the transformation of reaction products into lower volatile compounds in R51: SiFx(s.) + yO → SiFxOy(s.). In particular, the first mechanism suppresses the silicon etching rate in the O2-rich SF6 + O2 plasmas [49,50] while the second phenomenon produces the side-wall passivation layer in cryogenic etching processes [51,52]. Therefore, even if SiFxOy still exhibits the spontaneous desorption at nearly room temperatures [52], the corresponding resorption yield is expected to be lower compared with that for the nonoxidized SiFx. Anyway, it is clear that an increase in y O 2 accelerates R50 and R51 but reduces the efficiency of ion-assisted reverse processes, such as R52: SiO(s.) → Si(s.) + O and R53: SiFxOy(s.) → SiFxOy. That is why an increase in y O 2 suppresses γ R through decreasing fraction of free adsorption sites for F atoms. It is important to mention that our model-predicted γ R for pure CF4 plasma (~0.034, see Figure 5b) surely fits the range obtained in experiments with the independent sources of fluorine atoms in the absence of ion bombardment [53]. In fact, this confirms the above conclusions on the polymer-film-independent etching regime as well as on the domination of the chemical etching pathway in a form of the mainly spontaneous R49.
  • In moderately polymerizing CHF3 + O2 plasma (as it is characterized by intermediate values for both polymer deposition rate and the polymer etching rate by oxygen atoms), the condition of y O 2 ~25–30% probably corresponds to the transition from the polymer-film-dependent to the polymer-film-independent etching regime. In particular, in the CHF3− rich plasma, the polymer film may be thick enough to limit the rate of R49 through the transport of F atoms to the etched surface. Accordingly, an increase in γ R up to 25–30% O2 reflects the opposite change in the polymer film thickness due to decreasing polymer deposition rate (Figure 5c) and increasing polymer removal rate (Figure 5d). From Figure 5c, it can be understood that the addition of 30% O2 lowers the polymer deposition rate down to the value obtained in pure CF4 plasma. As such, the similarly thin polymer film on the Si surface does remain, and the further decrease in the amount of residual polymer does not influence γ R . Simultaneously, the increasing flux of oxygen atoms stimulates R50 and R51 and thus lowers the effective reaction probability for F atoms through the decreasing fraction of free adsorption sites. Therefore, the nonmonotonic shape of γ R = f ( y O 2 ) is due to the change in the process limiting stage.
  • In strongly polymerizing C4F8 + O2 plasma (as it combines the highest polymer deposition rate and the lowest polymer etching rate by oxygen atoms), the thick polymer film expectedly exists even at higher y O 2 values. Accordingly, the bend point on the γ R = f ( y O 2 ) curve at ~ 50% O2 also corresponds to the transition between two etching regimes where the effective probability of R49 is controlled by different factors. Similarly, to the previous case, these are either the transport of F atoms through the thick polymer film or the passivation of the etched surface by the cumulative action of R50 and R51.
Finally, we would like to note that the above data on γ R are in good agreement with those obtained in our previous work [14] for CF4 + Ar + O2, CHF3 + Ar + O2 and C4F8 + Ar + O2 gas mixtures. In particular, when substituting of Ar by O2 at constant 50% fraction of fluorocarbon component, we also detected the continuously decreasing γ R in the CF4− based plasma, obtained the non-monotonic γ R = f ( y O 2 ) curve in the CHF3− based plasma as well as found the continuously increasing γ R in the C4F8− based plasma. Obviously, the latter does not contradict with recent data since the end point in [14] was just 50% C4F8 + 50% O2. Therefore, one can surely suggest that the chemical etching pathway of silicon in fluorocarbon/oxygen gas systems is always influenced by two fundamental factors, such as F atom transport through the polymer film (if the latter has an essential thickness determined by a specific combination of polymer deposition and etching rates) and oxygen-related passivation effects on either polymer-free or covered by the thin polymer film surface. The competition between these factors as well as the domination of one of these under the given set of processing conditions may be adjusted by both types of fluorocarbon component and the content of O2 in a feed gas. From the above data, it can also be seen that the O2 mixing ratio is principally important variable parameter because it changes gas-phase reaction mechanisms, adds new essential components, and influences the Si etching kinetics not only through the density of etchant species. Though all these formally relate only for the fixed combination of p , W , and W d c used in the given study, corresponding regularities seem to be fundamental things that are valid for wider ranges of pressures and input powers. At least, the nonsystematic test experiments indicated that all experimental and model-predicted tendencies look similar at p = 4–12 mTorr and W = 400–900 W. The latter means no changes in basic reaction mechanisms and dominant processes determining both electron energy balance and steady-state plasma composition.

4. Conclusions

In this work, we performed the comparative study of electrophysical plasma parameters, densities of active species, and silicon etching kinetics in CF4 + O2, CHF3 + O2, and C4F8 + O2 gas mixtures with variable initial compositions. The combination of plasma diagnostics by Langmuir probes, optical emission spectroscopy, and plasma modeling confirmed known features of individual fluorocarbon gases as well as allowed one to figure out key chemical processes determining plasma parameters in the presence of oxygen. It was shown that, under the investigated set of processing conditions, an increase in O2 content in a feed gas (a) always disturbs electrons- and ions-related plasma parameters (identically for plasma density and non-identically for electron temperature, as follows from expected changes in total ionization rates and electron energy losses); (b) results in faster, compared with the dilution effect, decrease in densities of fluorocarbon radicals due to their oxidation into CFxO, FO, and COx compounds; and (c) sufficiently influences the kinetics of fluorine atoms. In particular, the nonmonotonic (with a maximum at ~40–50% O2) change in the F atom density in the CF4 + O2 plasma repeats behavior of their formation rate after the contribution of processes involving CFxO и FO species. At the same time, corresponding effects for both CHF3 + O2 and C4F8 + O2 plasmas contradict with changes in F atom formation rates but results from decreasing decay frequency in gas-phase atom-molecular processes. These are CHFx + F → CFx + HF and C2F4 + F → CF2 + CF3, respectively. From etching experiments, it was found that (a) the dominant etching mechanism for Si in all three gas systems is the ion-assisted chemical reaction and (b) the nearly constant surface temperature does not mean the constant Si + F reaction probability. In fact, the latter depends on the polymerizing ability for the given fluorocarbon gas as well as appears to be sensitive to the O atom flux through both change in the thickness of fluorocarbon polymer film and oxidation of silicon surface. The domination of last mechanism lowers the Si + F reaction probability in O2-rich CF4 + O2 plasmas while their competition produces nonmonotonic behaviors of reaction probabilities in CHF3 + O2 (with the maximum at ~25–30% O2) and C4F8 + O2 (with the maximum at ~50% O2) plasmas.

Author Contributions

Conceptualization, S.Y.B.; Investigation, G.C.; Data curation, A.B. and G.C.; Writing—original draft, S.Y.B. and A.E.; Writing—review & editing, A.E. and K.-H.K.; Visualization, A.B.; Supervision, K.-H.K. All authors have read and agreed to the published version of the manuscript.

Funding

These results was supported by “Regional Innovation Strategy (RIS)” through the National Research Foundation of Korea (NRF) funded by the Ministry of Education (MOE) (2021RIS-004).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Wolf, S.; Tauber, R.N. Silicon Processing for the VLSI Era. In Process Technology; Lattice Press: New York, NY, USA, 2000; Volume 1, p. 416. [Google Scholar]
  2. Nojiri, K. Dry Etching Technology for Semiconductors; Springer International Publishing: Tokyo, Japan, 2015; p. 116. [Google Scholar]
  3. Advanced Plasma Technology; John Wiley & Sons Inc.: New York, NY, USA, 2008; p. 479.
  4. Lieberman, M.A.; Lichtenberg, A.J. Principles of Plasma Discharges and Materials Processing; John Wiley & Sons Inc.: New York, NY, USA, 2005; p. 757. [Google Scholar]
  5. Donnelly, V.M.; Kornblit, A. Plasma etching: Yesterday, today, and tomorrow. J. Vac. Sci. Technol. A 2013, 31, 050825. [Google Scholar]
  6. Standaert, T.E.F.M.; Hedlund, C.; Joseph, E.A.; Oehrlein, G.S.; Dalton, T.J. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide. J. Vac. Sci. Technol. A 2004, 22, 53. [Google Scholar] [CrossRef] [Green Version]
  7. Kastenmeier, B.E.E.; Matsuo, P.J.; Oehrlein, G.S. Highly selective etching of silicon nitride over silicon and silicon dioxide. J. Vac. Sci. Technol. A 1999, 17, 3179. [Google Scholar] [CrossRef]
  8. Schaepkens, M.; Standaert, T.E.F.M.; Rueger, N.R.; Sebel, P.G.M.; Oehrlein, G.S.; Cook, J.M. Study of the SiO2−to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2−to-Si mechanism. J. Vac. Sci. Technol. A 1999, 17, 26. [Google Scholar] [CrossRef] [Green Version]
  9. Efremov, A.; Murin, D.; Kwon, K.-H. Concerning the Effect of Type of Fluorocarbon Gas on the Output Characteristics of the Reactive-Ion Etching Process. Russ. Microelectron. 2020, 49, 157. [Google Scholar] [CrossRef]
  10. Efremov, A.; Lee, B.J.; Kwon, K.-H. On Relationships Between Gas-Phase Chemistry and Reactive-Ion Etching Kinetics for Silicon-Based Thin Films (SiC, SiO2 and SixNy) in Multi-Component Fluorocarbon Gas Mixtures. Materials 2021, 14, 1432. [Google Scholar]
  11. Efremov, A.; Son, H.J.; Choi, G.; Kwon, K.-H. On Mechanisms Influencing Etching/Polymerization Balance in Multi-Component Fluorocarbon Gas Mixtures. Vacuum 2022, 206, 111518. [Google Scholar] [CrossRef]
  12. Kimura, T.; Noto, M. Experimental study and global model of inductively coupled CF4/O2 discharges. J. Appl. Phys. 2006, 100, 063303. [Google Scholar] [CrossRef]
  13. Chun, I.; Efremov, A.; Yeom, G.Y.; Kwon, K.-H. A comparative study of CF4/O2/Ar and C4F8/O2/Ar plasmas for dry etching applications. Thin Solid Films 2015, 579, 136. [Google Scholar] [CrossRef]
  14. Lim, N.; Efremov, A.; Kwon, K.-H. A comparison of CF4, CHF3 and C4F8 + Ar/O2 Inductively Coupled Plasmas for Dry Etching Applications. Plasma Chem. Plasma Process. 2021, 41, 1671. [Google Scholar] [CrossRef]
  15. Beenakker, C.I.M.; van Dommelen, J.H.J.; van de Poll, R.P.J. Decomposition and product formation in CF4–O2 plasma etching silicon in the afterglow. J. Appl. Phys. 1981, 52, 480. [Google Scholar] [CrossRef]
  16. Mogab, C.; Adams, A.; Flamm, D. Plasma Etching of Si and SiO2—The Effect of Oxygen Additions to CF4 Plasmas. J. Appl. Phys. 1978, 49, 3796. [Google Scholar] [CrossRef]
  17. Son, J.; Efremov, A.; Chun, I.; Yeom, G.Y.; Kwon, K.-H. On the LPCVD-Formed SiO2 Etching Mechanism in CF4/Ar/O2 Inductively Coupled Plasmas: Effects of Gas Mixing Ratios and Gas Pressure. Plasma Chem. Plasma Process. 2014, 34, 239. [Google Scholar] [CrossRef]
  18. Kokkoris, G.; Goodyear, A.; Cooke, M.; Gogolides, E. A global model for C4F8 plasmas coupling gas phase and wall surface reaction kinetics. J. Phys. D Appl. Phys. 2008, 41, 195211. [Google Scholar] [CrossRef]
  19. Rauf, S.; Ventzek, P.L. Model for an inductively coupled Ar/c-C4F8 plasma discharge. J. Vac. Sci. Technol. A 2002, 20, 14. [Google Scholar] [CrossRef]
  20. Lee, J.; Efremov, A.; Yeom, G.Y.; Lim, N.; Kwon, K.-H. Application of Si and SiO2 Etching Mechanisms in CF4/C4F8/Ar Inductively Coupled Plasmas for Nanoscale Patterns. J. Nanosci. Nanotechnol. 2015, 15, 8340. [Google Scholar] [CrossRef] [Green Version]
  21. Proshina, O.; Rakhimova, T.V.; Zotovich, A.; Lopaev, D.V.; Zyryanov, S.M.; Rakhimov, A.T. Multifold study of volume plasma chemistry in Ar/CF4 and Ar/CHF3 CCP discharges. Plasma Sources Sci. Technol. 2017, 26, 075005. [Google Scholar] [CrossRef]
  22. Efremov, A.M.; Murin, D.B.; Sobolev, A.M.; Kwon, K.-H. Kinetics of the Volumetric and Heterogeneous Processes in the Plasma of a C4F8 + O2 + Ar Mixture. Russ. Microelectron. 2021, 50, 24. [Google Scholar] [CrossRef]
  23. Efremov, A.; Lee, J.; Kim, J. On the Control of Plasma Parameters and Active Species Kinetics in CF4 + O2 + Ar Gas Mixture by CF4/O2 and O2/Ar Mixing Ratios. Plasma Chem. Plasma Process. 2017, 37, 1445. [Google Scholar] [CrossRef]
  24. Shun’ko, E.V. Langmuir Probe in Theory and Practice; Universal Publishers: Boca Raton, FL, USA, 2008; p. 245. [Google Scholar]
  25. Lopaev, D.V.; Volynets, V.A.; Zyryanov, S.M.; Zotovich, A.I.; Rakhimov, A.T. Actinometry of O, N and F atoms. J. Phys. D Appl. Phys. 2017, 50, 075202. [Google Scholar] [CrossRef]
  26. Herman, I.P. Optical Diagnostics for Thin Film Processing; Academic Press: San Diego, CA, USA, 1996; p. 739. [Google Scholar]
  27. Lee, J.; Kwon, K.-H.; Efremov, A. On the Relationships Between Plasma Chemistry, Etching Kinetics and Etching Residues in CF4 + C4F8 + Ar and CF4 + CH2F2 + Ar Plasmas with Various CF4/C4F8 and CF4/CH2F2 Mixing Ratios. Vacuum 2018, 148, 214. [Google Scholar] [CrossRef]
  28. Kimura, T.; Ohe, K. Probe measurements and global model of inductively coupled Ar/CF4 discharges. Plasma Sources Sci. Technol. 1999, 8, 553. [Google Scholar] [CrossRef]
  29. Ho, P.; Johannes, J.E.; Buss, R.J. Modeling the plasma chemistry of C2F6 and CHF3 etching of silicon dioxide, with comparisons to etch rate and diagnostic data. J. Vac. Sci. Technol. B 2001, 19, 2344. [Google Scholar] [CrossRef]
  30. Vasenkov, A.V.; Li, X.; Oehlein, G.S.; Kushner, M.J. Properties of c-C4F8 inductively coupled plasmas. II. Plasma chemistry and reaction mechanism for modeling of Ar/c-C4F8/O2 discharges. J. Vac. Sci. Technol. A 2004, 22, 511. [Google Scholar] [CrossRef] [Green Version]
  31. Efremov, A.M.; Murin, D.B.; Kwon, K.-H. Plasma Parameters and Kinetics of Active Particles in the Mixture CHF3 + O2 + Ar. Russ. Microelectron. 2020, 49, 233. [Google Scholar] [CrossRef]
  32. Lee, B.J.; Efremov, A.; Kwon, K.-H. Gas-Phase Chemistry and Reactive-Ion Etching Kinetics for Silicon-Based Materials in C4F8 + O2 + Ar Plasma. Plasma Process. Polym. 2021, 18, e2000249. [Google Scholar] [CrossRef]
  33. Lee, C.; Lieberman, M.A. Global model of Ar, O2, Cl2, and Ar/O2 high density plasma discharges. J. Vac. Sci. Technol. A 1995, 13, 368. [Google Scholar] [CrossRef]
  34. Hsu, C.C.; Nierode, M.A.; Coburn, J.W.; Graves, D.B. Comparison of model and experiment for Ar, Ar/O2 and Ar/O2/Cl2 inductively coupled plasmas. J. Phys. D Appl. Phys. 2006, 39, 3272. [Google Scholar] [CrossRef]
  35. Cunge, G.; Ramos, R.; Vempaire, D.; Touzeau, M.; Neijbauer, M.; Sadeghi, N. Gas temperature measurement in CF4, SF6, O2, Cl2, and HBr inductively coupled plasmas. J. Vac. Sci. Technol. A 2009, 27, 471. [Google Scholar] [CrossRef]
  36. Celik, Y.; Aramaki, M.; Luggenholscher, D.; Czarnetzki, U. Determination of electron densities diode-laser absorption spectroscopy in a pulsed ICP. Plasma Sources Sci. Technol. 2011, 20, 015022. [Google Scholar] [CrossRef]
  37. NIST Chemical Kinetics Database. Available online: https://kinetics.nist.gov/kinetics/ (accessed on 10 March 2023).
  38. Coburn, J. Plasma Etching and Reactive Ion Etching; AVS Monograph Series; American Vacuum Society: New York, NY, USA, 1982; p. 87. [Google Scholar]
  39. Winters, H.F.; Coburn, J.; Chuang, T. Surface processes in plasma-assisted etching environments. J. Vac. Sci. Technol. B 1983, 1, 469. [Google Scholar] [CrossRef]
  40. Gray, D.C.; Tepermeister, I.; Sawin, H.H. Phenomenological modeling of ion-enhanced surface kinetics in fluorine-based plasma etching. J. Vac. Sci. Technol. A 1993, 11, 1243. [Google Scholar] [CrossRef]
  41. Chapman, B. Glow Discharge Processes: Sputtering and Plasma Etching; Willey-Interscience: New York, NY, USA, 2008; p. 432. [Google Scholar]
  42. Christophorou, L.G.; Olthoff, J.K. Fundamental Electron Interactions with Plasma Processing Gases; Springer Science & Business Media: New York, NY, USA, 2012; p. 781. [Google Scholar]
  43. Raju, G.G. Gaseous electronics. In Tables, Atoms and Molecules; CRC Press: Boca Raton, FL, USA, 2017; p. 822. [Google Scholar]
  44. Hayashi, S.; Kawashima, K.; Ozawa, N.; Tsuboi, H.; Tatsumi, T.; Sekine, M. Stidies of CF2 radical and O atom in oxygen/fluorocarbon plasmas by laser-induced fluorescence. Sci. Technol. Adv. Mater. 2001, 2, 555. [Google Scholar] [CrossRef]
  45. A Simple Sputter Yield Calculator. Available online: https://www.iap.tuwien.ac.at/www/surface/sputteryield (accessed on 20 March 2023).
  46. Flamm, D.L. Mechanisms of silicon etching in fluorine- and chlorine-containing plasmas. Pure Appl. Chem. 1990, 62, 1709. [Google Scholar] [CrossRef]
  47. Donnelly, V.M. Reactions of fluorine atoms with silicon, revisited, again. J. Vac. Sci. Technol. A 2017, 35, 05C202. [Google Scholar] [CrossRef] [Green Version]
  48. Ninomiya, K.; Suzuki, K.; Nishimatsu, S.; Okada, O. Reaction of atomic fluorine with silicon. J. Appl. Phys. 1985, 58, 1117. [Google Scholar] [CrossRef]
  49. d’Agostino, R.; Flamm, D.L. Plasma etching of Si and SiO2 in SF6—O2 mixtures. J. Appl. Phys. 1981, 52, 162. [Google Scholar] [CrossRef]
  50. Knizikevicius, R. Simulations of Si and SiO2 Etching in SF6 + O2 Plasma. Acta Phys. Pol. A 2010, 117, 478. [Google Scholar] [CrossRef]
  51. Mellhaoui, X.; Dussarta, R.; Tillocher, T.; Lefaucheux, P.; Ranson, P. SiOxFy passivation layer in silicon cryoetching. J. Appl. Phys. 2005, 98, 104901. [Google Scholar] [CrossRef]
  52. Pereira1, J.; Pichon, L.E.; Dussart, R.; Cardinaud, C.; Duluard, C.Y.; Oubensaid, E.H.; Lefaucheux, P.; Boufnichel, M.; Ranson, P. In situ X-ray photoelectron spectroscopy analysis of SiOxFy passivation layer obtained in a SF6/O2 cryoetching process. Appl. Phys. Lett. 2009, 94, 071501. [Google Scholar] [CrossRef]
  53. Mullins, C.B.; Coburn, J.W. Lon-beam-assisted etching of Si with fluorine at low temperatures. J. Appl. Phys. 1994, 76, 7562. [Google Scholar] [CrossRef]
Figure 1. Electrons- and ions-related plasma parameters vs. fraction of O2 in CF4 + O2, CHF3 + O2, and C4F8 + O2 plasmas: (a) electron temperature; (b) plasma density; (c) negative dc bias voltage; and (d) parameter M i ε i Γ + characterizing the ion bombardment intensity. Processing conditions are: gas pressure of 6 mTorr, input power of 700 W and bias power of 200 W. Sections (ac) represent experimental data while solid lines are their fittings to guide the eye only. Section (d) represents model-predicted data.
Figure 1. Electrons- and ions-related plasma parameters vs. fraction of O2 in CF4 + O2, CHF3 + O2, and C4F8 + O2 plasmas: (a) electron temperature; (b) plasma density; (c) negative dc bias voltage; and (d) parameter M i ε i Γ + characterizing the ion bombardment intensity. Processing conditions are: gas pressure of 6 mTorr, input power of 700 W and bias power of 200 W. Sections (ac) represent experimental data while solid lines are their fittings to guide the eye only. Section (d) represents model-predicted data.
Materials 16 05043 g001
Figure 2. Model-predicted densities of neutral species vs. fraction of O2 in CF4 + O2 (a), CHF3 + O2 (b) and C4F8 + O2 (c) plasmas. Curves marked as O2 (a) and O2 (b) correspond to metastable states of O2(a1Δ) and O2(b1Σ), respectively. Dashed lines are to highlight oxygen-containing reaction products. Processing conditions are the same as those specified under Figure 1.
Figure 2. Model-predicted densities of neutral species vs. fraction of O2 in CF4 + O2 (a), CHF3 + O2 (b) and C4F8 + O2 (c) plasmas. Curves marked as O2 (a) and O2 (b) correspond to metastable states of O2(a1Δ) and O2(b1Σ), respectively. Dashed lines are to highlight oxygen-containing reaction products. Processing conditions are the same as those specified under Figure 1.
Materials 16 05043 g002
Figure 3. Model-predicted F atom formation rates vs. fraction of O2 in CF4 + O2 (a), CHF3 + O2 (b), and C4F8 + O2 (c). Labels on curves correspond to reaction numbers in Table 1, Table 2 and Table 3. Processing conditions are the same as those specified under Figure 1.
Figure 3. Model-predicted F atom formation rates vs. fraction of O2 in CF4 + O2 (a), CHF3 + O2 (b), and C4F8 + O2 (c). Labels on curves correspond to reaction numbers in Table 1, Table 2 and Table 3. Processing conditions are the same as those specified under Figure 1.
Materials 16 05043 g003
Figure 4. Emission intensities (Ar 750.4 nm (a) and F 703.8 nm (b)) as well as F atom densities obtained using the actinometry procedure (c) vs. fraction of O2 in CF4 + O2, CHF3 + O2 and C4F8 + O2 plasmas. Solid lines in sectons (ac) are fittings of experimental data to guide the eye only. Dashed lines in Figure (c) repeat model-predicted F atom densities from Figure 2. Processing conditions are the same with those specified under Figure 1.
Figure 4. Emission intensities (Ar 750.4 nm (a) and F 703.8 nm (b)) as well as F atom densities obtained using the actinometry procedure (c) vs. fraction of O2 in CF4 + O2, CHF3 + O2 and C4F8 + O2 plasmas. Solid lines in sectons (ac) are fittings of experimental data to guide the eye only. Dashed lines in Figure (c) repeat model-predicted F atom densities from Figure 2. Processing conditions are the same with those specified under Figure 1.
Materials 16 05043 g004
Figure 5. Silicon etching kinetics and flux-to-flux ratios characterizing polymerization effects vs. fraction of O2 in CF4 + O2, CHF3 + O2 and C4F8 + O2 plasmas: (a) measured Si etching rates (solid lines + symbols) and model-predicted R c h e m (dashed lines); (b) effective reaction probabilities; (c) Γ p o l / Γ F ratios characterizing polymer deposition rate; (d) Γ p o l / Γ O Γ F ratios characterizing the change in polymer film thickness due to its chemical etching by oxygen atoms. Solid lines in section (a) are fittings of experimental data to guide the eye only. Processing conditions are the same as those specified under Figure 1.
Figure 5. Silicon etching kinetics and flux-to-flux ratios characterizing polymerization effects vs. fraction of O2 in CF4 + O2, CHF3 + O2 and C4F8 + O2 plasmas: (a) measured Si etching rates (solid lines + symbols) and model-predicted R c h e m (dashed lines); (b) effective reaction probabilities; (c) Γ p o l / Γ F ratios characterizing polymer deposition rate; (d) Γ p o l / Γ O Γ F ratios characterizing the change in polymer film thickness due to its chemical etching by oxygen atoms. Solid lines in section (a) are fittings of experimental data to guide the eye only. Processing conditions are the same as those specified under Figure 1.
Materials 16 05043 g005
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Baek, S.Y.; Efremov, A.; Bobylev, A.; Choi, G.; Kwon, K.-H. On Relationships between Plasma Chemistry and Surface Reaction Kinetics Providing the Etching of Silicon in CF4, CHF3, and C4F8 Gases Mixed with Oxygen. Materials 2023, 16, 5043. https://doi.org/10.3390/ma16145043

AMA Style

Baek SY, Efremov A, Bobylev A, Choi G, Kwon K-H. On Relationships between Plasma Chemistry and Surface Reaction Kinetics Providing the Etching of Silicon in CF4, CHF3, and C4F8 Gases Mixed with Oxygen. Materials. 2023; 16(14):5043. https://doi.org/10.3390/ma16145043

Chicago/Turabian Style

Baek, Seung Yong, Alexander Efremov, Alexander Bobylev, Gilyoung Choi, and Kwang-Ho Kwon. 2023. "On Relationships between Plasma Chemistry and Surface Reaction Kinetics Providing the Etching of Silicon in CF4, CHF3, and C4F8 Gases Mixed with Oxygen" Materials 16, no. 14: 5043. https://doi.org/10.3390/ma16145043

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop