Next Article in Journal
Influence of Piezoelectric Properties on the Ultrasonic Dispersion of TiO2 Nanoparticles in Aqueous Suspension
Next Article in Special Issue
Exploiting Pull-In/Pull-Out Hysteresis in Electrostatic MEMS Sensor Networks to Realize a Novel Sensing Continuous-Time Recurrent Neural Network
Previous Article in Journal
Ultrafast Parallel Micro-Gap Resistance Welding of an AuNi9 Microwire and Au Microlayer
Previous Article in Special Issue
A New Embedded Key–Value Store for NVM Device Simulator
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Post-Moore Memory Technology: Sneak Path Current (SPC) Phenomena on RRAM Crossbar Array and Solutions

1
School of Informatics, Computing and Cyber Systems, Northern Arizona University, Flagstaff, AZ 86011, USA
2
Taiwan Semiconductor Research Institute, National Applied Research Laboratories, Hsinchu 30078, Taiwan
3
Department of Electrical and Computing Engineering, The University of Texas at Austin, Austin, TX 78712, USA
*
Author to whom correspondence should be addressed.
Micromachines 2021, 12(1), 50; https://doi.org/10.3390/mi12010050
Submission received: 14 December 2020 / Accepted: 29 December 2020 / Published: 3 January 2021

Abstract

:
The sneak path current (SPC) is the inevitable issue in crossbar memory array while implementing high-density storage configuration. The crosstalks are attracting much attention, and the read accuracy in the crossbar architecture is deteriorated by the SPC. In this work, the sneak path current problem is observed and investigated by the electrical experimental measurements in the crossbar array structure with the half-read scheme. The read margin of the selected cell is improved by the bilayer stacked structure, and the sneak path current is reduced ~20% in the bilayer structure. The voltage-read stress-induced read margin degradation has also been investigated, and less voltage stress degradation is showed in bilayer structure due to the intrinsic nonlinearity. The oxide-based bilayer stacked resistive random access memory (RRAM) is presented to offer immunity toward sneak path currents in high-density memory integrations when implementing the future high-density storage and in-memory computing applications.

1. Introduction

With the high demand for high-density memory storage applications, alternative memory technology has been intensively investigated for replacing conventional charge-based flash memory which suffers from charge loss and program errors with device scaling down. To break the bottle-neck of device scaling, several emerging memory technology attracts considerable attention, such as phase-change memory (PCM) [1], ferroelectric random access memory (FeRAM) [2,3], magnetic resistive random access memory (MRAM) [4], and resistive random access memory (RRAM). Among them, the resistive random access memory (RRAM) device holds great potential as an emerging candidate because of its simple design, high-speed operation, excellent scalability, and low power consumption [5,6,7,8]. A resistive random access memory cell, namely memristor, is a nonlinear, passive two-terminal resistance component associated with the combination of charge and magnetic flux, proposed by Leon Chua in 1971 and has been deepened into practical applications by HP Labs [9].
The metal-insulator-metal (MIM) structure exhibits resistance switching (RS) characteristics which result from the inevitable existence of non-stoichiometry in insulating metal oxide thin film. Among the metal oxide insulators, hafnium oxide (HfOx) was proposed as the most promising material system based on the updated International Technology Roadmap for Semiconductors (ITRS) due to its overall performances on reliability including endurance property (>1012 cycles), retention (>10 years at 85 °C), and operation stability (i.e. current limiting operation) conformed with the requirements of non-volatile memory and storage-class memory applications [10]. Besides, HfOx has been extensively studied and used as the gate dielectrics for MOSFETs since 45 nm and 22 nm technology node and is compatible with the complementary metal-oxide-semiconductor (CMOS) process.
In the era of computational and information technologies renovation, such as artificial intelligence (AI), internet-of-things (IoT), edge computing, in-memory computing paradigm, etc., the emerging memory technology with high-density storage, large bandwidth, and low power consumption has also been rapidly explored over years. With the simple crossbar structure featuring 4F2 of footprint, RRAM is also a promising candidate for next-generation nonvolatile memory technologies for both data storage and in-memory computing owing to its ultra-low power consumption, simple structure, and high scalability for 3D integration of the computing and memory elements, e.g. 3D vertical RRAM [11,12,13,14]. Speaking of device architecture, RRAM has an advantage for 3D cross-point architecture beyond planar (2D) structure to maximize memory density in the minimum active area [15,16]. However, sneak-path current (SPC) is a general inevitable problem in crossbar RRAM configuration, and significantly affect the read operation because each word and bit lines are connected in perpendicular directions. That is, the interference currents from neighboring cells result in reading error and false programming. Consequently, to access a selected memory cell in the cross-point array with interference robustness and SPC immunity is the major challenge for high-density memory arrays [17,18]. Consequently, reading a selected cell within the cross-point array without interference from leakage current through neighbor cells is the major roadblock to accomplishment the high-density memory cell arrays.
To address the issues results from SPC, various selection devices has been presented for the one-transistor-one-on-memory (1T1R) (6F2) or one-selector-one-memory (1S1R) configuration [19,20,21,22], where each memory component is companied with a switch devices such as conventional transistor or diode, oxide-based metal-insulator-transition switch [23,24,25], volatile switching selector [21], chalcogenide-based threshold switching devices [26,27,28], mixed-ionic-electronic-conduction (MIEC) selector [29] etc. Despite the 1T1R configuration is considered a solution for eliminating the sneak path currents, it compromises the advantage of ultrahigh scalability of RRAM in the cost-manufacture effective approach for high-density storage applications. Therefore, it is of great interest to investigate the sneak path current in a crossbar array, and develop solutions includes not only selection device for integration but a self-rectifying memory for high-density storage application.
In this paper, the sneak path current is observed and investigated with operation modulations on varied RRAM memory structure designs. The methodology of conducting the electroporation by voltage sweeping on the RRAM crossbar array has been presented as followed by the read interference and cross-talk observations. The self-rectifying resistive switching behavior in the bilayer stacked devices through designed operation conditions is presented with SPC immunity, which can be leveraged and become the solution to realize three-dimensional memory configuration for high-density memory storage applications and high-demanding computational architectures.

2. Materials and Methods

Figure 1 shows the fabrication process flow, schematic of the device structure, and transmission electron microscopy (TEM) image (cross-section) of the RRAM device, respectively. The heavily-doped N+ Si wafers are as the starting substrates with SiOx deposition by plasma-enhanced chemical vapor deposition (PECVD), titanium nitride (TiN)) stack of 200 nm deposited as the bottom electrode. The SiOx isolation layers deposited by PECVD, and via was patterned by photolithography. The via is composed of PECVD deposited SiOx of ~740 nm. The via sizes in square length are 400 nm, 750 nm, and 1 µm. The tungsten (W) was filled and deposited by the radio frequency (RF) sputtering method, followed by the chemical mechanical polishing (CMP) process. Due to the higher material reaction rate toward the slurry of the CMP process, the tungsten has been polished more than SiOx isolation layers surround the via. The silicon nitride (SiN) was deposited and patterned as the spacers for improving electrical isolation between the top and bottom electrodes and increasing the device yield.
The 6 nm of HfOx (H) were deposited as resistive switching layers by atomic layer deposition (ALD). The HfOx of 6 nm and SiOx (S) of 3 nm are deposited by thermal ALD at 250 degrees C and sputtering, respectively. Titanium Nitride/Titanium was deposited as top electrodes followed by patterning. The HfOx of 6 nm single layer devices are here used as a reference. Noted the device fabrication process in this work is also feasible to the embedded RRAM in complementary-metal-oxide-semiconductor (CMOS)-compatible back-end-of-line (BEOL) process [30]. The device size in the square with a side width of 760 nm is defined by the width of the tungsten plug, as showed in Figure 1c. A DC experimental setup was used to allow full electrical characterization of the select devices, and the probe numbers are associated with the cell number in the array (Figure 2a). A B1500A semiconductor parameter analyzer and Lakeshore probe station were used to measure the current-voltage (I-V) behaviors. The bias was applied to BE while TE was grounded during measurements. All devices were characterized after forming, which was carried out at positive voltage. The positive voltage is applied on the TE with grounded BE for the read and electroforming process.

3. Results and Discussion

The built-in SPC immunity of RRAM with intrinsic nonlinearity for suppressing the sneak path current, namely “selectorless RRAM” [31,32,33]. The nonlinearity (NL) is defined as the current at full-read voltage divided by the current at 1/2 read voltage as the V/2 read [34]. The built-in nonlinear nature can alleviate the sneak current because the on-state of the selected cell can be read at a “high-voltage” region, while the sharp conductance decrease at the “low-voltage region” effectively suppresses sneak current results from the unselected cells [35].
In this work, the 2 × 2 crossbar composed of bilayer selector less RRAM is fabricated and investigated. To initiate the resistive switching, a single sweep electroforming process was used, which includes a current-limited voltage sweep to induce soft breakdown to electroform the filamentary structure. In the crossbar array, the electroforming is conducted in the sequence of N1, N2, N4, and N3 (Figure 2c). Here, the soft-breakdown process is performed by sweeping the voltage until the current abruptly increases to a compliance current limit (CCL) of 1 mA to prevent permanent hard-breakdown and increases the “electroforming yield” for RRAM devices, i.e. % of the good device after electroforming. The current before forming voltage is considered in this paper as the indicator for the sneak path currents generated from the neighboring memory cells. Interestingly, the sneak path current issue can be observed in the sequential electroformation in Figure 2c. The selected cell with firstly electroformation is intentionally chosen on N1. After applying the electroforming sweep on the N1 cell (black curve), the electroforming voltage is ~1.2 V with an abrupt current increment while all the other cells are at standby voltage as the unselected cells. The initial current of the standby cell is higher than the pristine state during the sequence of forming process, which indicates the sneak path current issue affects the initial states in unselected cell i.e. N2, N4, N3 while forming is conducted on N2, N4, and N3 cell in sequence. It is worth noting that there is no RESET process followed by electroformation, and the methodology is intentionally designed to investigate the SPC phenomena during the first step of utilized the RRAM, i.e. electroformation. The forming voltage can still be observed with the abrupt current increment while forming the N1 and N2. The forming voltage of N1 is 1.2 V, and which of N2 is 2 V. The higher N2 forming voltage is thought to be suggested the parasitic resistance on the external circuit which results in the larger forming voltage. The current before forming voltage is considered in this paper as the indicator for the sneak path currents generated from the neighboring memory cells. However, due to the SPC interference on N4 and N3 after forming N1 and N2, the current of cells is too high to remain on the high resistance state (HRS). That is, the N4 and N3 (yellow and green curve in Figure 2c) are “passively” partially electroformed due to the sneak path current contributed by electroforming N1 and N2.
Figure 3a shows the comparison of single-layer and bilayer stacked devices during the electroformation process. The initial current on bilayer structure is ~0.01 of which on the single-layer device at a read voltage of 2 V. The inherent SPC immunity is showed in the bilayer structure, and the SPC interference is observed on 4 cells in the crossbar array (including selected cell i.e. N4, and unselected cells i.e. N1, N2, N3). To decouple the read interference and write interference, the memory devices are programmed and read separately while comparing the varied device structures. Figure 3a show the SPC interference by N4 electroforming on HfOx single layer device array and HfOx/SiOx bilayer device array, respectively. The reading was conducted on all four memory cells before N4 forming with the half-read scheme (V/2 read scheme), as followed by the DC voltage- sweep N4 electroforming process with floating the rest of two electrodes. Then, the reading process was conducted again on all the four memory cells with the half-read scheme (Figure 3b). The black curve shows the read currents of all the cells before N4 electroformation, and the red curve shows the currents of all cells after N4 electroformation. The current after N4 electroformed is elevated in not only N4 but all the other unselected cells, especially on N3. It depicts the sneak path current generated during the reading process after N4 forming, where the N3 encountered severe SPC interferences and the current increases without electroformation on N3. Noted the reading scheme is applied as showed in Figure 3b. To investigate carefully the interferential currents, the normalized current is calculated for all the cells in the array composed of single-layer and bilayer devices (Figure 3d). The normalized current of bilayer crossbar array is 7.5–22× lowered than which of single layer crossbar array, which interprets the SPC immunity on bilayer devices was leveraged to reduce the misreading or crosstalks in the crossbar array applications. Figure 3e shows the SPC immunity is improved by ~103 for N1 and N2 cells, and ~10x for N3 and N4 cell, where the SPC immunity is defined as read current of the single-layer device divided by bilayer devices. Noted the sneak path current is still affecting the bilayer devices especially on N3 and N4 due to the read interference current occurs while no cells are written at the moment.
The equivalent circuit of an N × N crossbar array for the one-bit line pull-up read scheme (Figure 4a). The read margin is defined as the voltage drop (ΔV) divided by the pull-up voltage applied externally, where the sneak path current caused the voltage drop when the selected cell is at a low resistance state (LRS) i.e less resistive and higher SPC. The selected cell (pink marked) is under the full-read voltage (Vread) and the unselected cell (orange marked) is under half of the Vread. The pull-up resistor is utilized as the passive component for pull-up voltage (Vpu) measurement and validation. The N represents the number fo wordline i.e. array size, and the equivalent circuit is showed that the selected and unselected RRAM cell is in parallel [36,37,38]. Herein, the second-order of neighboring cells i.e. (N-2) cell in the schematic, is neglected due to the ignorable interference current contribution. That is, the component in the read margin calculation is suggested to be neglected due to ignorable interference current contribution by second-order resistor. The equivalent circuit is simplified and includes first-order resistors in the form of (RLRS/(N-1)) [38].
Noted that the SPC is lowered when the selected cell is at a high resistance state (HRS) due to larger resistances in the crossbar array. The number of wordline (N) (i.e. array size) is extracted on the premise of a 10% read margin. The read margin is suggested as dominated by the SPC immunity of selectorless RRAM, and independent on memory window (MW) once MW > 102 (Figure 4b). The memory window is defined as the resistance ratio of high resistive state and low resistive state i.e. on-off ratio of the memory. The read margin increases with the number of wordline decreasing, which is owing to a lower sneak path current through the unselected neighboring cells. In other words, the read margin deteriorates as memory size becomes larger and larger, where the sum of SPC is larger in a larger memory array. Besides, the device size dependency is studied with measured values of MW and SPC immunity with various device structures. The SPC immunity is independent of the device sizes for various structures, which suggests the localized filament switching and the potential for high scalability on this bilayer selectorless RRAMs (data not showed). The V/2 read scheme is applied on a 2 × 2 array, which is configured by the HfOx (6 nm)/SiOx (3 nm) memory cells with self-rectifying effect as HfOx (6 nm) single-layer cells.
The read margin degrades with increasing read voltages, which depicts that the sneak path currents issue in the array is worse when the read voltages increased (Figure 4c). The pull-up resistor is used for the voltage drop measurement to calculate the read margin by definition [38]. The read margin is defined as the voltage drop (ΔV) divided by the pull-up voltage applied externally, where the sneak path current caused the voltage drop when the selected cell is at a low resistance state (LRS) i.e less resistive and higher SPC. Noted the resistance of the pull-up resistor is chosen as the LRS on the cell (~5 MΩ). The read margin decreases with increasing read voltage is due to the increment of voltage drop when the cell in HRS (i.e. VHRS). In other words, the sneak path current generated during the reading leads to higher VHRS, and lower read margin. The SPC immunity and the number of possible word lines in the array are higher in high-k/low-k stacked structures (i.e. H6S3) than a single high-k layer (i.e. H6). The self-rectifying effect of the bilayer device mitigates the read margin degradation owing to the built-in nonlinear nature which suppresses the sneak path currents. The mechanism was investigated on built-in nonlinearity in bilayer selectorless RRAM (patterned single device) comprehensively, including electrical modulations, switching gap of filamentary structure, and current transport mechanism by numerical analysis [39]. The dielectric non-uniformity and switching gap location in bilayer structures need to be well-designed to implement the built-in nonlinearity and perform the SPC immunity on the RRAM selectorless crossbar array. Leveraging the dielectric behaviors on selectorless bilayer RRAM, the reduced read currently on unselected cell (~0.01× of conventional RRAM) which is utilized as the “sneak path current block” in the future high-density array applications [39,40].
The I-V characteristics of HfOx single layer and HfOx/SiOx bilayer devices are showed in Figure 5 (black and red curve, the median of 30 switching cycles). The nonlinearity is defined as the current at reading voltage by a current at half of the read voltage. The SET compliance current limit (CCL) is applied to investigate the intrinsic nonlinearity of bilayer and single-layer devices. SPC immunity increases with increasing nonlinearity. The optimized nonlinearity of the bilayer device (NL~20) is obtained by applying SET CCL of 400 µA. The optimization of nonlinearity with SET CCL modulation is thought to be suggested as the resistive switching gap location. The nonuniformity of bilayer stacks i.e. HfOx of 6 nm and SiOx of 3 nm can be leveraged for the optimized NL with specific current control, according to the filamentary structures formed in the bilayer dielectric stacks [28]. To understand the NL behaviors of bilayer stacked devices, the device-to-device (D2D) and cycle-to-cycle (C2C) variability has been investigated in Figure 5c. There are 15 devices for each structure and 30 cycles for each device have be measured. It is worth to noted the considerable improvement of nonlinearity (~16, cycle-to-cycle) in HfOx (6 nm)/SiOx (3 nm) in the 2 × 2 array has been observed as compared to the single layer HfOx (11 nm) as the individual device without interferences from the neighboring cells. The statistical results of the variability in cycling test has also been studied, which is less than 1 order of magnitude in HfOx (6 nm)/SiOx (3 nm), and not deteriorate the SPC immunity. In contrast, the variability is larger than 1 order in HfOx (4 nm)/SiOx (9 nm), which is suggested to have the relatively larger impact on SPC immunity due to the larger D2D and C2C variability. Additionally, the switching voltages of the bilayer devices for various structures (device size 400 nm × 400 nm), has been investigated (Figure 5c), The SET and RESET voltages are reduced (SET: 1 V; RESET: −0.5 V) in the HfOx (6 nm)/SiOx (3 nm) device than other structures, which is suggested the total oxide stacks are thinner (<10 nm) results in the lower switching voltages for filamentary switching [41].

4. Conclusions

In this work, the sneak path current problem is observed and investigated by the electrical experimental measurements in a 2 × 2 crossbar array structure. The sneak path current results in crosstalk in unselected cells can be mitigated by the single-layer HfOx device, and the sneak path current is reduced ~20% in a bilayer structure. The voltage-read stress-induced read margin degradation has also been investigated, and the read voltage with 10% of the reading margin is elevated to 2.5 V in bilayer device with good SPC immunity as compared to 0.1 V in single layer device. The oxide-based bilayer stacked RRAM offering immunity toward sneak path currents is developed as a selectorless RRAM and utilized in high-density memory integrations while implementing the future high-density storage and in-memory computing applications.

Author Contributions

Conceptualization, Y.-C.C.; Methodology, C.-C.L.; Validation Y.-C.C. and C.-C.L.; Formal Analysis, Y.-C.C.; Investigation, Y.-C.C., C.-C.L., and Y.-F.C. Writing-Original Draft Preparation, Y.-C.C.; Writing-Review & Editing, Y.-C.C.; Visualization, Y.-C.C. and Y.-F.C.; Supervision, Y.-C.C.; Project Administration, Y.-C.C. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Acknowledgments

We like to acknowledge the technical support provided by National Applied Research Laboratories, Hsinchu, Taiwan.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Joshi, V.; le Gallo, M.; Haefeli, S.; Boybat, I.; Nandakumar, S.R.; Piveteau, C.; Dazzi, M.; Rajendran, B.; Sebastian, A.; Eleftheriou, E. Accurate deep neural network inference using computational phase-change memory. Nat. Commun. 2020, 11, 1–13. [Google Scholar] [CrossRef] [PubMed]
  2. Lin, Y.H.; Chen, W.C.; Chen, P.H.; Lin, C.Y.; Chang, K.C.; Chang, Y.C.; Yeh, C.-H.; Lin, C.-Y.; Jin, F.-Y.; Chen, K.-H.; et al. Effect of deposition temperature on electrical properties of one-transistor-one-capacitor (1T1C) FeRAM devices. Appl. Phys. Lett. 2020, 117, 023502. [Google Scholar] [CrossRef]
  3. Chen, Y.; Xu, M.; Hu, X.; Yue, Y.; Zhang, X.; Shen, Q. High-resolution structural mapping and single-domain switching kinetics in 2D-confined ferroelectric nanodots for low-power FeRAM. Nanoscale 2020, 12, 11997–12006. [Google Scholar] [CrossRef] [PubMed]
  4. Barla, P.; Joshi, V.K.; Bhat, S. A novel low power and reduced transistor count magnetic arithmetic logic unit using hybrid STT-MTJ/CMOS circuit. IEEE Access 2020, 8, 6876–6889. [Google Scholar] [CrossRef]
  5. Chand, U.; Huang, C.Y.; Kumar, D.; Tseng, T.Y. Metal induced crystallized poly-Si-based conductive bridge resistive switching memory device with one transistor and one resistor architecture. Appl. Phys. Lett. 2015, 107, 203502. [Google Scholar] [CrossRef]
  6. Waser, R.; Aono, M. Nanoionics-based resistive switching memories. Nat. Mater. 2007, 6, 833–840. [Google Scholar] [CrossRef]
  7. Cappelletti, P. Nonvolatile memory evolution and revolution. In IEEE International Electron Devices Meeting (IEDM); IEEE: New York, NY, USA, 2015; pp. 10–11. [Google Scholar]
  8. Wong, H.S.P.; Lee, H.Y.; Yu, S.; Chen, Y.S.; Wu, Y.; Chen, P.S.; Lee, B.; Chen, F.T.; Tsai, M.J. Metal—Oxide RRAM. Proc. IEEE 2012, 100, 1951–1970. [Google Scholar] [CrossRef]
  9. Chua, L. Memristor-the missing circuit element. IEEE Trans. Circuit Theory 1971, 18, 507–519. [Google Scholar] [CrossRef]
  10. Lee, H.D.; Kim, S.G.; Cho, K.; Hwang, H.; Choi, H.; Lee, J.; Lee, S.H.; Lee, H.J.; Suh, J.; Chung, S.O.; et al. Integration of 4F2 selector-less crossbar array 2Mb ReRAM based on transition metal oxides for high-density memory applications. In VLSI Technology (VLSIT); IEEE: New York, NY, USA, 2012; pp. 151–152. [Google Scholar]
  11. Yu, S. Neuro-inspired computing with emerging nonvolatile memories. Proc. IEEE 2018, 106, 260–285. [Google Scholar] [CrossRef]
  12. Ielmini, D. Brain-inspired computing with resistive switching memory (RRAM): Devices, synapses, and neural networks. Microelectron. Eng. 2018, 190, 44–53. [Google Scholar] [CrossRef]
  13. Chen, A. A review of emerging non-volatile memory (NVM) technologies and applications. Solid State Electron. 2016, 125, 25–38. [Google Scholar] [CrossRef]
  14. Azghadi, M.R.; Chen, Y.; Eshraghian, J.K.; Chen, J.; Lin, C.-Y.; Amirsoleimani, A.; Mehonic, A.; Mehonic, A.; Kenyon, A.J.; Fowler, B.; et al. Complementary metal-oxide semiconductor and memristive hardware for neuromorphic computing. Adv. Intell. Syst. 2020, 2, 1900189. [Google Scholar] [CrossRef] [Green Version]
  15. Xu, X.; Luo, Q.; Gong, T.; Lv, H.; Long, S.; Liu, Q.; Chung, S.S.; Li, J.; Liu, M. Fully CMOS compatible 3D vertical RRAM with self-aligned self-selective cell enabling sub-5nm scaling. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; IEEE: New York, NY, USA, 2016; pp. 1–2. [Google Scholar]
  16. Luo, Q.; Xu, X.; Liu, H.; Lv, H.; Gong, T.; Long, S.; Liu, Q.; Sun, H.; Banerjee, W.; Li, L.; et al. Demonstration of 3D vertical RRAM with ultra low-leakage, high-selectivity and self-compliance memory cells. In Proceedings of the 2015 IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 7–9 December 2015; IEEE: New York, NY, USA, 2015; pp. 10–12. [Google Scholar]
  17. Gao, S.; Zeng, F.; Li, F.; Wang, M.; Mao, H.; Wang, G.; Song, C.; Pan, F. Forming-free and self-rectifying resistive switching of the simple Pt/TaO x/n-Si structure for access device-free high-density memory application. Nanoscale 2015, 7, 6031–6038. [Google Scholar] [CrossRef] [PubMed]
  18. Woo, J.; Yu, S. Two-step read scheme in one-selector and one-RRAM crossbar-based neural network for improved inference robustness. IEEE Trans. Electron. Devices 2018, 65, 5549–5553. [Google Scholar] [CrossRef]
  19. Peng, X.; Madler, R.; Chen, P.; Yu, S. Cross-point memory design challenges and survey of selector device characteristics. J. Comput. Electron. 2017, 16, 1167–1174. [Google Scholar] [CrossRef]
  20. Zhou, J.; Kim, K.; Lu, W. Crossbar RRAM arrays: Selector device requirements during a read operation. IEEE Trans. Electron. Devices 2014, 61, 1369–1376. [Google Scholar] [CrossRef]
  21. Midya, R.; Wang, Z.; Zhang, J.; Savel’ev, S.E.; Li, C.; Rao, M.; Jang, M.H.; Joshi, S.; Jiang, H.; Lin, P.; et al. Anatomy of Ag/Hafnia-based selectors with 1010 nonlinearity. Adv. Mater. 2017, 29, 1604457. [Google Scholar] [CrossRef] [Green Version]
  22. Yan, B.; Mahmoud, A.M.; Yang, J.J.; Wu, Q.; Chen, Y.; Li, H.H. A neuromorphic ASIC design using one-selector-one-memristor crossbar. In Proceedings of the 2016 IEEE International Symposium on Circuits and Systems (ISCAS), Montreal, QC, Canada, 22–25 May 2016; IEEE: New York, NY, USA, 2016; pp. 1390–1393. [Google Scholar]
  23. Cha, E.; Park, J.; Woo, J.; Lee, D.; Prakash, A.; Hwang, H. Comprehensive scaling study of NbO2 insulator-metal-transition selector for cross-point array application. Appl. Phys. Lett. 2016, 108, 153502. [Google Scholar] [CrossRef]
  24. Kalcheim, Y.; Camjayi, A.; del Valle, J.; Salev, P.; Rozenberg, M.; Schuller, I.K. Non-thermal resistive switching in Mott insulator nanowires. Nat. Commun. 2020, 11, 1–9. [Google Scholar] [CrossRef]
  25. Huang, J.J.; Tseng, Y.M.; Hsu, C.W.; Hou, T.H. Bipolar nonlinear Ni/TiO2/Ni Selector for 1S1R Crossbar Array Applications. IEEE Electron. Device Lett. 2011, 32, 1427–1429. [Google Scholar] [CrossRef]
  26. Jang, G.; Park, M.; Kim, W.; Yang, J.; Hong, J. Bidirectional-nonlinear threshold switching behaviors and thermally robust stability of ZnTe selectors by nitrogen annealing. Sci. Rep. 2020, 10, 1–9. [Google Scholar] [CrossRef] [PubMed]
  27. Noé, P.; Verdy, A.; d’Acapito, F.; Dory, J.; Bernard, M.; Navarro, G.; Jager, J.; Gaudin, J.; Raty, J. Toward ultimate nonvolatile resistive memories: The mechanism behind ovonic threshold switching revealed. Sci. Adv. 2020, 6, eaay2830. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  28. Choi, H.-S. Different degradation mechanism by conduction region in AsTeGeSiN threshold switching device. Electron. Lett. 2020, 56, 1202–1204. [Google Scholar] [CrossRef]
  29. Burr, G.W.; Virwani, K.; Shenoy, R.S.; Padilla, A.; BrightSky, M.; Joseph, E.A.; Lofaro, M.; Kellock, A.J.; King, R.S.; Nguyen, K.; et al. Large-scale (512kbit) integration of multilayer-ready access-devices based on mixed-ionic-electronic-conduction (MIEC) at 100% yield. In Proceedings of the 2012 Symposium on VLSI Technology (VLSIT), Honolulu, HI, USA, 12–14 June 2012; IEEE: New York, NY, USA, 2012; pp. 41–42. [Google Scholar]
  30. Chen, Y.-F.; O’Donnell, J.A.; Acosta, T.; Kotlyar, R.; Chen, A.; Quintero, P.A.; Strutt, N.; Golonzka, O.; Connor, C.; Hicks, J. eNVM RRAM reliability performance and modeling in 22FFL FinFET technology. In Proceedings of the 2020 IEEE International Reliability Physics Symposium (IRPS), Dallas, TX, USA, 28 April–30 May 2020; IEEE: New York, NY, USA, 2020; pp. 1–4. [Google Scholar]
  31. Chen, Y.-C.; Hu, S.; Lin, C.; Fowler, B.; Huang, H.; Lin, C.; Kim, S.; Chang, Y.; Lee, J.C. Graphite-based selectorless RRAM: Improvable intrinsic nonlinearity for array applications. Nanoscale 2018, 10, 15608–15614. [Google Scholar] [CrossRef]
  32. Chen, Y.-C.; Lin, C.; Hu, S.; Lin, C.; Fowler, B.; Lee, J. A novel resistive switching identification method through relaxation characteristics for sneak-path-constrained selectorless RRAM application. Sci. Rep. 2019, 9, 1–6. [Google Scholar] [CrossRef] [Green Version]
  33. Chen, Y.-C.; Lin, C.-Y.; Huang, H.-C.; Kim, S.; Fowler, B.; Chang, Y.-F.; Wu, X.; Xu, G.; Chang, T.-C.; Lee, J.C. Internal filament modulation in low-dielectric gap design for built-in selector-less resistive switching memory application. J. Phys. D Appl. Phys. 2018, 51, 055108. [Google Scholar] [CrossRef]
  34. Cho, H.; Ryu, J.-H.; Mahata, C.; Ismail, M.; Chen, Y.-C.; Chang, Y.-F.; Cho, S.; Mikhaylov, A.; Lee, J.C.; Kim, S. Bipolar resistive switching with unidirectional selector function in nitride/oxide heterostructures. J. Phys. D Appl. Phys. 2020, 53, 435102. [Google Scholar] [CrossRef]
  35. Chen, Y.-C.; Huang, H.C.; Lin, C.Y.; Hu, S.T.; Lin, C.C.; Lee, J.C. Selectorless resistive switching memory: Non-uniform dielectric architecture and seasoning effect for low power array applications. AIP Adv. 2019, 9, 075119. [Google Scholar] [CrossRef] [Green Version]
  36. Ciprut, A.; Friedman, E.G. On the write energy of non-volatile resistive crossbar arrays with selectors. In Proceedings of the 2018 19th International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, USA, 13–14 March 2018; IEEE: New York, NY, USA, 2018; pp. 184–188. [Google Scholar]
  37. Chang, C.-C.; Huang, H.-H.; Hudec, B.; Wu, M.-H.; Chang, C.-C.; Liu, P.-T.; Hou, T.-H. Strong Read and Write Interference Induced by Breakdown Failure in Crossbar Arrays. IEEE Trans. Electron. Devices 2020, 67, 12. [Google Scholar] [CrossRef]
  38. Hsu, C.-W.; Wang, I.T.; Lo, C.-L.; Chiang, M.C.; Jang, W.Y.; Lin, C.H.; Hou, T.H. Self-rectifying bipolar TaOx/TiO2 RRAM with superior endurance over 10 12 cycles for 3D high-density storage-class memory. In Proceedings of the 2013 Symposium on VLSI Technology, Kyoto, Japan, 11–13 June 2013; IEEE: New York, NY, USA, 2013; pp. T166–T167. [Google Scholar]
  39. Chen, Y.-C.; Huang, H.C.; Lin, C.Y.; Kim, S.; Chang, Y.F.; Lee, J.C. Effects of ambient sensing on SiOx-based resistive switching and resilience modulation by stacking engineering. ECS J. Solid State Sci. Technol. 2018, 7, P350. [Google Scholar] [CrossRef]
  40. Chen, Y.-C.; Lin, C.Y.; Cho, H.; Kim, S.; Fowler, B.; Lee, J.C. Current-Sweep Operation on Nonlinear Selectorless RRAM for Multilevel Cell Applications. J. Electron. Mater. 2020, 49, 3499–3503. [Google Scholar]
  41. Long, S.; Lian, X.; Cagli, C.; Perniola, L.; Miranda, E.; Liu, M.; Suñé, J. A model for the set statistics of RRAM inspired in the percolation model of oxide breakdown. IEEE Electron. Device Lett. 2013, 34, 999–1001. [Google Scholar] [CrossRef]
Figure 1. (a) Fabrication process flow for the two-terminal memory with tungsten plug as a bottom electrode (BE) and TiN as a top electrode (TE), (b) schematic of two-terminal memory with TiN spacers, (c) TEM image of the two-terminal memory.
Figure 1. (a) Fabrication process flow for the two-terminal memory with tungsten plug as a bottom electrode (BE) and TiN as a top electrode (TE), (b) schematic of two-terminal memory with TiN spacers, (c) TEM image of the two-terminal memory.
Micromachines 12 00050 g001
Figure 2. (a) SEM image (top view) of the 2 × 2 crossbar array and voltage is applied on the N1 cell, (b) electroforming sequence of N1, N2, N4, N3, (c) I-V characteristics of HfOx (6 nm) single-layer device in the forming sequence of N1 → N2 → N4 → N3 (size: 400 nm × 400 nm, CCL of 1 mA).
Figure 2. (a) SEM image (top view) of the 2 × 2 crossbar array and voltage is applied on the N1 cell, (b) electroforming sequence of N1, N2, N4, N3, (c) I-V characteristics of HfOx (6 nm) single-layer device in the forming sequence of N1 → N2 → N4 → N3 (size: 400 nm × 400 nm, CCL of 1 mA).
Micromachines 12 00050 g002
Figure 3. (a) Electroforming of HfOx (6 nm)(black curve) and HfOx (6 nm)/SiOx (3 nm) (red curve) devices i.e. N4 cell, (b) the design flow of experiments,(c,d) read current of HfOx (6 nm) on standby cells before (black curve) and after N4 electroformation (red curve), (e) SPC immunity improvement by using bilayer stacked structure.
Figure 3. (a) Electroforming of HfOx (6 nm)(black curve) and HfOx (6 nm)/SiOx (3 nm) (red curve) devices i.e. N4 cell, (b) the design flow of experiments,(c,d) read current of HfOx (6 nm) on standby cells before (black curve) and after N4 electroformation (red curve), (e) SPC immunity improvement by using bilayer stacked structure.
Micromachines 12 00050 g003
Figure 4. (a) Normalized current of cell numbers after N4 electroformation, (b) Simulated results with fixed NL (~20) with various memory window (~2–104). The read margin remains as the MW >102, (c) read margin with the V/2 read scheme applied on 2 × 2 array configuration with the HfOx (6 nm)/SiOx (3 nm) memory with self-rectifying effect, and HfOx (6 nm) single-layer device.
Figure 4. (a) Normalized current of cell numbers after N4 electroformation, (b) Simulated results with fixed NL (~20) with various memory window (~2–104). The read margin remains as the MW >102, (c) read margin with the V/2 read scheme applied on 2 × 2 array configuration with the HfOx (6 nm)/SiOx (3 nm) memory with self-rectifying effect, and HfOx (6 nm) single-layer device.
Micromachines 12 00050 g004
Figure 5. (a) I-V characteristics of single-layer and bilayer devices at CCL of 400µA (left), and the summarized table of intrinsic nonlinearity under SET CCL modulations, (b) Nonlinearity characteristics and device-to-device, cycle-to-cycle variability for various stacked structures, (c) switching voltages of various stacked structures.
Figure 5. (a) I-V characteristics of single-layer and bilayer devices at CCL of 400µA (left), and the summarized table of intrinsic nonlinearity under SET CCL modulations, (b) Nonlinearity characteristics and device-to-device, cycle-to-cycle variability for various stacked structures, (c) switching voltages of various stacked structures.
Micromachines 12 00050 g005
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Chen, Y.-C.; Lin, C.-C.; Chang, Y.-F. Post-Moore Memory Technology: Sneak Path Current (SPC) Phenomena on RRAM Crossbar Array and Solutions. Micromachines 2021, 12, 50. https://doi.org/10.3390/mi12010050

AMA Style

Chen Y-C, Lin C-C, Chang Y-F. Post-Moore Memory Technology: Sneak Path Current (SPC) Phenomena on RRAM Crossbar Array and Solutions. Micromachines. 2021; 12(1):50. https://doi.org/10.3390/mi12010050

Chicago/Turabian Style

Chen, Ying-Chen, Chao-Cheng Lin, and Yao-Feng Chang. 2021. "Post-Moore Memory Technology: Sneak Path Current (SPC) Phenomena on RRAM Crossbar Array and Solutions" Micromachines 12, no. 1: 50. https://doi.org/10.3390/mi12010050

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop