Next Article in Journal
Silver Nanoparticle-Based Finishing for Leather Antimicrobial and UV Protection
Previous Article in Journal
Experimental Investigation of Laser Parameters Dependence of Surface Graphitization in Nanosecond Laser Ablation of  Nanocrystalline Diamond
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A Stepped Gate Oxide Structure for Suppressing Gate-Induced Drain Leakage in Fully Depleted Germanium-on-Insulator Multi-Subchannel Tunneling Field-Effect Transistors

1
Laboratory of Analog Integrated Circuits, Hangzhou Institute of Technology, Xidian University, Hangzhou 311231, China
2
National Laboratory of Analog Integrated Circuits, Chongqing 400060, China
*
Authors to whom correspondence should be addressed.
Micromachines 2025, 16(4), 375; https://doi.org/10.3390/mi16040375
Submission received: 25 February 2025 / Revised: 21 March 2025 / Accepted: 25 March 2025 / Published: 26 March 2025
(This article belongs to the Section D1: Semiconductor Devices)

Abstract

:
To address the severe gate-induced drain leakage (GIDL) issue in fully depleted germanium-on-insulator (FD-GeOI) multi-subchannel tunneling field-effect transistors (MS TFETs), this paper proposes a stepped gate oxide (SGO) structure. In the off-state, the SGO structure effectively suppresses GIDL by reducing the electric field intensity at the channel/drain interface while simultaneously decreasing gate capacitance to reduce static power consumption. Based on an accurate device model, a systematic investigation was conducted into the effects of varying the thickness and length of the SGO structure on TFET performance, enabling the optimization of the SGO design. The simulation results demonstrate that, compared to normal MS TFETs, the SGO MS TFET reduces the off-state GIDL current ( I off ) from 4.6 × 10 7 A to 2.6 × 10 11 A, achieving a maximum improvement of 4.22 orders of magnitude in the on-state-to-off-state current ratio ( I on / I off ) and a 28% reduction in subthreshold swing (SS). Furthermore, compared to lightly doped drain (LDD) MS TFETs, the SGO MS TFET achieves a 32% reduction in total gate capacitance and a 23% enhancement in carrier mobility at the channel/drain interface. This study demonstrates that SGO provides an effective solution for GIDL suppression.

1. Introduction

Conventional TFETs exhibit advantages such as a low off-state current [1], minimal static power consumption [2], and a steep subthreshold swing [3]. However, their limited on-state current significantly restricts their practical application [4]. Fully depleted (FD) Multi-Subchannel TFETs (MS TFETs) address this limitation by modifying the device architecture [5,6]. Unlike traditional TFETs, MS TFETs maintain a uniform doping concentration in both the channel and drain regions, while the source region is oppositely doped [7]. A high-work-function metal is used as the gate electrode, ensuring complete channel depletion at zero gate bias ( V G S = 0 V) [8]. In the on-state, the depletion region disappears, and the channel transitions to an n + state, with significant tunneling occurring across the entire contact interface of the p + n + junction formed between the source and channel regions. This generates a larger tunneling current. Unlike the inversion-mode operation of conventional TFETs, the fully depleted operational mechanism of the MS TFET enables it to function in flatband mode or accumulation mode. The MS TFET turns off the device by depleting the heavily doped channel through the metal gate. This allows the channel and drain regions to be simultaneously doped with n + through identical ion implantation doses, eliminating the need for the additional masking steps required for distinct doping of the drain and channel in conventional TFETs. Consequently, the MS TFET achieves reduced photolithographic complexity, offering a simplified fabrication process, an enhanced on-state current ( I o n ), and superior drive capability, making it a promising candidate for future electronic applications.
To ensure full channel depletion at zero bias, a thin channel and a gate electrode with a large work function are preferred. However, this design induces significant band-to-band tunneling (BTBT) at the channel/drain interface in the off-state, leading to severe GIDL and increased off-state current ( I o f f ) [9,10,11]. To mitigate the gate-induced drain leakage (GIDL) in MS TFETs, two architectural approaches based on fully depleted silicon-on-insulator (FD-SOI) [12,13] substrates have been proposed in previous studies: one integrating a field plate (FP) [14,15] and another employing a lightly doped drain (LDD) structure [16,17,18]. Although the FP configuration demonstrates enhanced device performance, its implementation necessitates an extra metal type that introduces additional process complexity. While the LDD design proves effective in GIDL suppression, this advantage comes at the expense of elevated on-state resistance, consequently degrading the drive current [19,20].
To address the challenges of GIDL suppression and the optimization of device performance in MS TFETs, this study proposes a stepped gate oxide (SGO) MS TFET based on fully depleted germanium-on-insulator (FD-GeOI). The SGO structure effectively mitigates the leakage tunneling effect caused by the large metal work function through electric field modulation at the drain/channel interface during the off-state, thereby significantly reducing GIDL current. Systematic simulation studies demonstrate that both the I o n / I off ratio and SS of the SGO MS TFET are improved compared to normal MS TFETs. Subsequently, we analyze and optimize the dimensions of the suppression oxide in SGO (suppression oxide Thickness ( T S oxide )/suppression oxide Length ( L S oxide ) = 3–15 nm/0–25 nm). The simulation results reveal that the device achieves optimal performance when T S oxide = 12 nm and L S oxide = 20 nm. Compared to normal MS TFETs, the SGO MS TFET demonstrates (1) a reduction in I o f f from 4.6 × 10 7 A to 2.6 × 10 11 A, achieving a decrease of 4.25 orders of magnitude; (2) a 28% reduction in SS; and (3) an improvement in the I o n / I off ratio by 4.22 orders of magnitude. Furthermore, compared to LDD, SGO exhibits a 32% reduction in total gate capacitance, a 23% increase in the mobility of the drain carrier, and a higher electron current density.

2. Device Structure and Simulation Method

2.1. Device Structure

Figure 1a shows the cross-section of a standard FD-GeOI MS TFET and the SGO MS TFET. In the SGO MS TFET, the gate oxide is composed of two layers, control oxide and suppression oxide, in contrast to the standard MS TFET. The control oxide near the source region turns the device off when V G S is 0 V, similarly to the normal MS TFET. The suppression oxide near the drain reduces the GIDL between the channel and drain. As V G S increases, the depletion region under the suppression oxide disappears first, followed by the depletion region beneath the control oxide, which turns the device on.

2.2. Simulation Methodology

In the simulations, the suppression oxide was set to a length of 20 nm and a thickness of 12 nm, while the control oxide and channel thicknesses were 3 nm and 7 nm, respectively. Both the normal MS TFET and SGO MS TFET featured a uniformly doped channel ( 1 × 10 19 cm 3 ). A TiN gate electrode with a work function of 4.5 eV was used to ensure full channel depletion in the off-state (as shown in Table 1). HfO2, a high-k material, served as the gate dielectric for both the control and suppression oxides (as shown in Table 1). Simulations were carried out using Sentaurus TCAD. The selection of device models was performed by using the Advanced Calibration User Guide [21]. The dynamic nonlocal BTBT model [22] was considered for the band-to-band tunneling of charge carriers between the drain and channel. Calibration of the dynamic nonlocal BTBT model parameters was based on experimental results from the literature [23,24,25,26]. The parameters of germanium were A = 1.46 × 1017 cm−3 s−1 and B = 3.59 × 106 V/cm. Due to the high doping level, the BGN model [27] was also included, because the effective bandgap directly influences the tunneling current. Also, the SRH recombination model [28] was included due to the presence of a high impurity atom concentration in the channel, and Fermi–Dirac statistics [29] were included to calculate the intrinsic carrier concentration. For more accurate current calculations, the field-dependent [30] and doping-dependent mobility degradation model and drift-diffusion current transport model [31] were also considered. The simulation settings were calibrated by reproducing the results from [32], as shown in Figure 1b.
Figure 1. (a) Comparison of device structures for normal MS TFET and SGO MS TFET. (b) Validation of simulation setup by reproducing results of [32].
Figure 1. (a) Comparison of device structures for normal MS TFET and SGO MS TFET. (b) Validation of simulation setup by reproducing results of [32].
Micromachines 16 00375 g001

2.3. Suggested Process Flow

As shown in Figure 2, SGO device fabrication involves the following key steps: hydrogen ion implantation, wafer bonding, layer transfer, and surface treatment form a high-quality single-crystal Ge layer on SiO2 [33]. Low-temperature epitaxial growth minimizes lattice defects caused by Ge-Si mismatch. The source, channel, and drain regions are doped via ion implantation (p-type boron, n-type phosphorus), followed by rapid thermal annealing (RTA) [34] for dopant activation. The gate dielectric process uses atomic layer deposition (ALD) [35] with HfCl4 and H2O precursors to deposit a 3 nm thick HfO2 layer at 0.15 nm/cycle. Self-aligned double patterning (SADP) [36] defines regions requiring thicker dielectrics, where an additional 9 nm of HfO2 is deposited via 70 ALD cycles at 0.13 nm/cycle. In situ ellipsometry ensures precise thickness control (total 12 nm). CF4/Ar plasma etching removes the SiC hard mask with controlled surface roughness (Ra < 0.3 nm), followed by spike annealing (SPA, 1 s at 1000 °C) to repair interface defects [37]. Finally, a conformal TiN metal gate is formed via ALD, and vertical contact holes are created using Cl2/BCl3 plasma etching with a bottom anti-reflective coating (BARC) for enhanced pattern fidelity [38,39,40].
In contrast, LDD MS TFET fabrication requires additional photolithography and doping steps. The channel region is defined through photolithography and heavy phosphorus (P) doping, while the drain region involves two-step photolithography: (1) Low-energy P implantation forms an n LDD region near the channel to mitigate the electric field concentration. (2) High-dose arsenic (As) implantation defines the n + + drain contact region after precise alignment, ensuring low series resistance [41]. A uniform 3 nm HfO2 gate dielectric layer is grown via ALD. Compared to SGO, which integrates the drain and channel regions in a single photolithography step, the LDD approach requires multiple doping steps and photolithography cycles, and strict alignment between the n + channel and n lightly doped regions. This increases process complexity, particularly in doping control and patterning precision.

3. Results and Discussion

The introduction of the SGO structure in the FD-GeOI MS TFET significantly influences key performance metrics, including the I o f f , I o n / I o f f ratio and SS. The SGO design effectively modulates the electric field distribution within the device, leading to notable improvements in these parameters.

3.1. Off-State Current Reduction

In MS TFETs, high gate metal work function is required to deplete the heavily doped channel, which inevitably leads to the generation of GIDL. This leakage current in the off-state contributes to increased static power dissipation, degrading both the power efficiency and overall device performance. To mitigate this issue, an SGO configuration is introduced, which effectively weakens the gate/drain electric field intensity in the off-state (as shown in Figure 3a), thereby suppressing GIDL. Figure 3b illustrates the transfer characteristics comparison between the normal MS TFET and those employing the SGO structure. With the implementation of the SGO design, I off is reduced from 4.6 × 10 7 A to 2.6 × 10 11 A, representing an improvement of 4.25 orders of magnitude compared to the normal MS TFET. The SGO structure exhibits a negligible impact on I o n , thereby maintaining the drive current at approximately 3.0 × 10 5 A.
With the introduction of a thicker suppression oxide in the SGO structure, the gate’s depletion effect on channel electrons weakens, reducing the number of inversion holes on the channel’s upper surface (see Figure 4b). Consequently, the built-in electric field of the pn junction and BTBT decrease. At the gate/drain junction, the off-state tunneling probability and current density are significantly lower than those in the normal MS TFET (see Figure 4a and Figure 5a). From an energy band perspective, the thicker suppression oxide increases the tunneling barrier width T W 1 / 2 (see Figure 5b), further reducing the carrier tunneling probability. Thus, the SGO structure effectively suppresses the GIDL effect caused by a large gate metal work function.

3.2. Analysis of SGO Structural Parameters

The performance of the SGO MS TFET strongly depends on the thickness and length of the suppression oxide. Optimizing these parameters can simultaneously improve the I o n / I o f f ratio and SS, further enhancing overall device performance.

3.2.1. Effect of Suppression Oxide Thickness

To elucidate the impact of the SGO structure on I o f f , we simulated the variations in the I D S V G S characteristics, I o n / I o f f ratio, and SS under different suppression oxide thicknesses ( T S o x i d e ), as shown in Figure 6. The results indicate that as T S o x i d e increases from 3 nm to 12 nm, I o f f of the SGO MS TFET decreases significantly from 4.6 × 10 7 A to 2.6 × 10 11 A, SS improves from 119 mV/dec to 86 mV/dec, and the I o n / I o f f ratio increases substantially from 0.6 × 10 2 to 1 × 10 6 . This improvement can be attributed to two factors: First, the increased T S o x i d e (from 3 nm to 12 nm) effectively reduces the electric field intensity at the channel-drain junction in the off-state; second, it ensures the complete depletion of the heavily doped channel while slightly increasing the electron concentration in the channel (still below the neutral electron concentration). Under the combined effects of reduced electric field intensity and increased channel electron concentration, the energy band at the gate/drain interface becomes progressively flatter, preventing valence band electrons from tunneling (see Figure 7a). Consequently, I o f f gradually decreases, while the I o n / I o f f ratio and SS are further optimized, leading to a significant enhancement in device performance.
However, as the suppression oxide thickness continues to increase, the thickened oxide layer gradually weakens the gate’s ability to control channel depletion near the drain side. Therefore, when T S o x i d e is further increased from 12 nm to 15 nm, the gate metal’s ability to deplete electrons in the drain-side channel is further compromised. At this point, the heavily doped channel fails to be fully depleted, resulting in an elevated electron concentration in the channel (exceeding the neutral electron concentration). This implies that the effective channel region that can be depleted by the gate shortens, making the device more susceptible to short-channel effects (SCEs), which increases I o f f , SS and degrades the I o n / I o f f ratio. As shown in Figure 6, when T S o x i d e = 15 nm, I o f f increases from 2.6 × 10 11 A to 3.5 × 10 11 A, the I o n / I o f f ratio drops from 1.0 × 10 6 to 0.8 × 10 6 , and SS deteriorates from 86 mV/dec to 90 mV/dec.
In summary, as the suppression oxide thickness increases, the gate’s control over the channel in this region continuously weakens until it is eventually lost, causing the device performance to first improve and then degrade. Specifically, when T S o x i d e is 12 nm, GIDL is completely suppressed, and the channel is in a critical depletion state, achieving optimal device performance. However, when the thickness is further increased to 15 nm, the channel is no longer fully depleted, triggering SCEs and resulting in degraded device performance.

3.2.2. Effect of Suppression Oxide Length

We simulated the transfer characteristics, I o n / I o f f ratio, and SS for different suppression oxide lengths ( L S o x i d e ), as shown in Figure 8. As L S o x i d e increases from 0 nm to 20 nm, I o f f of the TFET decreases significantly from 4.6 × 10 7 A to 2.6 × 10 11 A, while SS improves from 119 mV/dec to 86 mV/dec, and the and I o n / I o f f ratio increases substantially from 0.6 × 10 2 to 1.0 × 10 6 . This improvement can be attributed to the following mechanisms: As L S o x i d e increases, the hole charge region beneath the oxide decreases significantly, and the electric field near the drain side of the gate is also significantly reduced. These changes cause the energy band bending near the drain side of the channel to flatten during the off-state (see Figure 7b), increasing the effective tunneling barrier width and thereby significantly reducing the tunneling probability and leakage current in this region. However, when L S o x i d e is further increased (>20 nm), the effective channel depletion region gradually shrinks, leading to the aggravation of SCEs and an increase in I o f f . As shown in Figure 8, when L S o x i d e increases from 20 nm to 25 nm, I o f f rises from 2.6 × 10 11 A to 2.0 × 10 10 A, the I o n / I o f f ratio drops from 1 × 10 6 to 1.6 × 10 5 , and SS deteriorates from 86 mV/dec to 117 mV/dec. This indicates that an excessively long suppression oxide layer weakens the gate’s control over the channel, thereby degrading device performance.
As the gate voltage gradually increases, the channel region corresponding to the thicker suppression oxide layer (with weaker gate control capability) exits the depletion state first, while the channel region associated with the thinner control oxide layer (with stronger gate control capability) exits the depletion state last. Regardless of the variations in the thickness of the suppression oxide layer, when the gate voltage continues to increase, the electron concentration in the channel region under the control oxide layer eventually recovers to the doping concentration. At this point, the pn junction at the source/channel interface of devices with different suppression oxide thicknesses operates under the same doping concentration and reverse bias ( V D S ), producing identical quantum tunneling. Therefore, I o n of the MS TFET is largely unaffected by the SGO structure.
Based on the analysis of the aforementioned results, the parameters of the suppression oxide in the SGO structure are optimized to their ideal values, with a T S o x i d e of 12 nm and a L S o x i d e of 20 nm. Compared to the normal MS TFET, the SGO MS TFET demonstrates superior performance metrics. The introduction of the SGO structure leads to a significant reduction in I o f f and an improvement in the I o n / I o f f ratio by 4.22 orders of magnitude. Additionally, the SS is improved to 86 mV/dec, indicating more efficient switching behavior (as shown in Table 2).

3.3. Capacitance and Mobility Analysis

After determining the optimal suppression oxide dimensions ( T S o x i d e = 12 nm and L S o x i d e = 20 nm), a C-V characteristic study was conducted for the SGO MS TFET, normal MS TFET, and LDD MS TFET to evaluate the impact of the SGO structure on circuit-level device performance. First, the total gate capacitance ( C g g ) was analyzed by computing the frequency-dependent admittance matrix. The total gate capacitance of the TFETs can be expressed using Equation (1), where C s represents the depletion capacitance given by ε S i / T c h , and C o x denotes the gate oxide capacitance, which can be derived from Equation (2). According to Equations (1) and (2), an increase in T S o x i d e leads to a reduction in C g g , thereby minimizing gate capacitance and improving device performance [42].
1 C g g = 1 C o x + 1 C s
C o x = C o x 1 + C o x 2 = ε o x T C o x i d e + ε o x T T o x i d e
Figure 9 compares the C g g of the SGO MS TFET, normal MS TFET, and LDD MS TFET under different bias conditions. From Figure 9a ( C g g vs. V G S at V D S = 0 V), it is observed that the SGO MS TFET exhibits the lowest C g g , whereas the LDD MS TFET and normal MS TFET have similar and higher capacitance values. From Figure 9b ( C g g vs. V D S at V G S = 1 V), the C g g of the SGO MS TFET decreases more gradually as V D S increases, while the normal MS TFET and LDD MS TFET maintain relatively higher capacitance levels. This phenomenon occurs because the SGO structure effectively reduces the gate/drain electric field, minimizing edge capacitance effects and thereby lowering parasitic capacitance. In contrast, the LDD MS TFET, due to its lightly doped drain, suppresses GIDL but does not significantly reduce C g g . As a result, its capacitance characteristics remain similar to those of the normal MS TFET, leading to persistent parasitic effects.
Compared to the normal MS TFET and LDD MS TFET, the C g g of the SGO MS TFET is reduced by 32%. Due to its lower gate capacitance, the SGO MS TFET can minimize parasitic capacitance effects, thereby improving switching speed in high-frequency applications.
Figure 10 and Figure 11 compare the carrier mobility [43,44] and electron current density [45,46] in the SGO MS TFET and LDD MS TFET. These figures provide further insight into the SGO structure’s impact on carrier transport and overall device performance. The SGO MS TFET exhibits higher carrier mobility (increased by 23%) near the channel/drain interface compared to the LDD MS TFET. This improvement is due to the SGO reducing the vertical electric field (superior in reducing electric field intensity/peaks compared to LDD), which mitigates mobility degradation caused by interface roughness and scattering. Higher mobility contributes to enhanced carrier transport efficiency, leading to improved on-state performance without additional power consumption.
In addition, the electron current density of the SGO MS TFET is significantly higher than that of the LDD MS TFET (increased by 2.9 times). This advantage is mainly attributed to the higher carrier concentration and mobility achieved near the drain side, demonstrating superior carrier transport capability. The SGO structure, through optimized design of the suppression oxide layer, not only ensures a higher electron current density but also maintains high carrier mobility. In contrast, although the LDD structure can mitigate the GIDL effect to some extent, its lower doping concentration significantly increases the parasitic resistance at the drain, thereby limiting the improvement in electron current density. Therefore, compared to the LDD MS TFET, the SGO structure exhibits superior performance in both carrier mobility and electron current density, thereby demonstrating greater overall advantages in switching applications.

4. Conclusions

This study addresses the issue of GIDL in FD-GeOI MS TFETs by proposing an innovative SGO structure. Compared to the traditional LDD structure, the SGO design is simpler to fabricate and significantly enhances device performance through electric field modulation at the channel/drain junction. By systematically investigating different SGO dimensions, including thickness and length, we determined the optimal SGO configuration that minimizes I o f f , optimizes SS, and maximizes the I o n / I o f f ratio. The simulation results demonstrate that the optimized SGO structure reduces I o f f from 4.6 × 10 7 A to 2.6 × 10 11 A, attributed to the expansion of the tunneling barrier width, representing a significant improvement over normal MS TFETs. Additionally, compared to LDD MS TFETs, the SGO MS TFET reduces C g g by 32% through increased suppression oxide thickness. The SGO also exhibits superior carrier transport characteristics, with the electron current density being significantly higher than that of LDD (increased by 2.9 times), and drain carrier mobility improved by 23%. This enhancement in mobility ensures low parasitic resistance while improving overall device performance. Energy band engineering achieved through SGO modulation further optimizes the SS of the MS TFET, reducing it from 119 mV/dec to 86 mV/dec—a 28% improvement. Notably, these enhancements are achieved without compromising I o n while maintaining a high I o n / I o f f ratio. The SGO fabrication process is fully compatible with existing semiconductor manufacturing technologies, providing a scalable and novel solution for GIDL suppression in both 2D and 3D FD-GeOI devices. Its performance and scalability surpass those of LDD.

Author Contributions

Conceptualization, R.C. and L.W.; methodology, R.C. and X.S.; software, R.C. and R.H.; validation, R.C., L.W., and K.L.; formal analysis, R.C. and P.Z.; investigation, R.C. and H.H.; resources, L.W. and H.H.; data curation, R.H. and K.L.; writing—original draft preparation, R.C.; writing—review and editing, R.C., L.W., and P.Z.; visualization, R.C.; supervision, L.W. and H.H.; project administration, L.W. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

The original contributions presented in this study are included in the article. Further inquiries can be directed to the corresponding author.

Acknowledgments

We sincerely thank Liming Wang for his valuable guidance and support throughout the research process. We offer special thanks to Xinlong Shi and Peijian Zhang for their assistance in the experimental design and data analysis. We also thank Keqin Liao and Ruizhe Han for their technical support and allowing us to use their equipment. Finally, we would like to thank Huiyong Hu for his careful review and helpful comments. During the preparation of this manuscript, the authors used ChatGPT-4 for the purposes of checking the grammar and polishing the English of the manuscript. The authors have reviewed and edited the output and take full responsibility for the content of this publication.

Conflicts of Interest

The authors declare no conflicts of interest.

Abbreviations

The following abbreviations are used in this manuscript:
FD-SOIFully depleted Silicon-on-Insulator
FD-GeOIFully depleted Germanium-on-Insulator
GIDLGate-induced drain leakage
SGOStepped gate oxide
MS TFETMulti-subchannel Tunneling field effect transistor
LDDLightly doped drain
FPField plate
I o n On-state current
I o f f Off-state current
I o n / I off The on-state to off-state current ratio
SSSubthreshold swing
BTBTBand-to-band tunneling
BGNBandgap narrowing
SRHShockley–Read–Hall
RTARapid thermal annealing
ALDAtomic layer deposition
SADPSelf-aligned double patterning
SPASpike annealing
BARCBottom anti-reflective coating
PPhosphorus
AsArsenic
CggTotal gate capacitance

References

  1. Shih, P.-C.; Hou, W.-C.; Li, J.-Y. A U-Gate InGaAs/GaAsSb Heterojunction TFET of Tunneling Normal to the Gate With Separate Control Over ON- and OFF-State Current. IEEE Electron Device Lett. 2017, 38, 1751–1754. [Google Scholar] [CrossRef]
  2. Kato, K.; Tanamoto, T.; Mori, T.; Morita, Y.; Matsukawa, T.; Takenaka, M.; Takagi, S. Impact of Switching Voltage on Complementary Steep-Slope Tunnel Field Effect Transistor Circuits. IEEE Trans. Electron Devices 2020, 67, 3876–3882. [Google Scholar] [CrossRef]
  3. Musalgaonkar, G.; Sahay, S.; Saxena, R.S.; Kumar, M.J. Nanotube Tunneling FET With a Core Source for Ultrasteep Subthreshold Swing: A Simulation Study. IEEE Trans. Electron Devices 2019, 66, 4425–4432. [Google Scholar]
  4. Kim, G.; Lee, J.; Kim, J.H.; Kim, S. High On-Current Ge-Channel Heterojunction Tunnel Field-Effect Transistor Using Direct Band-to-Band Tunneling. Micromachines 2019, 10, 77. [Google Scholar] [CrossRef]
  5. Liu, X.-Y.; Hu, H.-Y.; Wang, B.; Wang, M.; Han, G.-Q.; Cui, S.-M.; Zhang, H.-M. Study of novel junctionless Ge n-Tunneling Field-Effect Transistors with lightly doped drain (LDD) region. Superlattices Microstruct. 2017, 102, 7–16. [Google Scholar] [CrossRef]
  6. Wang, B.; Hu, S.; Feng, Y.; Li, P.; Hu, H.Y.; Shu, B. Simulation study of device physics and design of GeOI TFET with PNN structure and buried layer for high performance. Chin. Phys. B 2020, 29, 107401. [Google Scholar] [CrossRef]
  7. Chen, W.; Cheng, J.; Huang, H.; Zhang, B.; Chen, X.B. The Oppositely Doped Islands IGBT Achieving Ultralow Turn Off Loss. IEEE Trans. Electron Devices 2019, 66, 3690–3693. [Google Scholar] [CrossRef]
  8. Choi, K.M.; Lee, W.S.; Lee, K.H.; Park, Y.K.; Choi, W.Y. Influence of Preferred Gate Metal Grain Orientation on Tunneling FETs. IEEE Trans. Electron Devices 2015, 62, 1353–1356. [Google Scholar] [CrossRef]
  9. Kim, S.W.; Kim, J.H.; Liu, T.J.K.; Choi, W.Y.; Park, B.G. Demonstration of L-Shaped Tunnel Field-Effect Transistors. IEEE Trans. Electron Devices 2016, 63, 1774–1778. [Google Scholar] [CrossRef]
  10. Revelant, A.; Villalon, A.; Wu, Y.; Zaslavsky, A.; Le Royer, C.; Iwai, H.; Cristoloveanu, S. Electron-Hole Bilayer TFET: Experiments and Comments. IEEE Trans. Electron Devices 2014, 61, 2674–2681. [Google Scholar] [CrossRef]
  11. Chang, H.; Liu, Q.; Yang, H.; Zhou, L.; Ji, Z.; Tang, B.; Zhang, Q.; Yin, H.; Du, A.; Li, J.; et al. Effectiveness of Repairing Hot Carrier Degradation in Si p-FinFETs Using Gate Induced Drain Leakage. IEEE Electron Device Lett. 2023, 44, 372–375. [Google Scholar]
  12. Wan, J.; Le Royer, C.; Zaslavsky, A.; Cristoloveanu, S. Gate-induced drain leakage in FD-SOI devices: What the TFET teaches us about the MOSFET. Microelectron. Eng. 2011, 88, 1301–1304. [Google Scholar]
  13. Mitra, S.K.; Goswami, R.; Bhowmick, B. A hetero-dielectric stack gate SOI-TFET with back gate and its application as a digital inverter. Superlattices Microstruct. 2016, 92, 37–51. [Google Scholar]
  14. Wang, B.; Zhang, H.-M.; Hu, H.-Y.; Shi, X.-W. Enhancement of off-state characteristics in junctionless field effect transistor using a field plate. Chin. Phys. B 2018, 27, 067402. [Google Scholar]
  15. Wang, X.; Tang, Z.; Cao, L.; Li, J.; Liu, Y. Gate Field Plate Structure for Subthreshold Swing Improvement of Si Line-Tunneling FETs. IEEE Access 2019, 7, 100675–100683. [Google Scholar]
  16. Li, C.; Zhao, X.; Zhuang, Y.; Yan, Z.; Guo, J.; Han, R. Optimization of L-shaped tunneling field-effect transistor for ambipolar current suppression and Analog/RF performance enhancement. Superlattices Microstruct. 2018, 115, 154–167. [Google Scholar]
  17. Zhu, G.; Zhang, M.; Lu, L.; Wong, M.; Kwok, H.-S. Hot Carrier Degradation Reduction in Metal Oxide Thin-Film Transistors by Implementing a Lightly Doped Drain-Like Structure. IEEE Electron Device Lett. 2024, 45, 1602–1605. [Google Scholar] [CrossRef]
  18. Teng, Q.; Wu, Y.; Xu, K.; Gao, D. Hot Carrier Degradation-Induced Variability in Different Lightly Doped Drain Processes: From Transistors to SRAM Cells. IEEE Trans. Electron Devices 2024, 71, 6527–6533. [Google Scholar]
  19. Tiwari, V.A.; Teh, Y.W.; Jaeger, D.; Divakaruni, R.; Nair, D.R. Effect of Germanium Preamorphization Implant on Performance and Gate-Induced Drain Leakage in SiGe Channel pFET. IEEE Electron Device Lett. 2015, 36, 531–533. [Google Scholar]
  20. Dabhi, C.K.; Roy, A.S.; Chauhan, Y.S. Compact Modeling of Temperature-Dependent Gate-Induced Drain Leakage Including Low-Field Effects. IEEE Trans. Electron Devices 2019, 66, 2892–2897. [Google Scholar] [CrossRef]
  21. Synopsys. Advanced Calibration for Device Simulation User Guide. Available online: https://picture.iczhiku.com/resource/eetop/sYKTQPSOlSOZAvNb.pdf (accessed on 15 June 2024).
  22. Li, W.; Liu, H.-X.; Wang, S.-L.; Chen, S.-P.; Yang, Z.-N. Design of High Performance Si/SiGe Heterojunction Tunneling FETs with a T-Shaped Gate. Nanoscale Res. Lett. 2017, 12, 198. [Google Scholar] [CrossRef]
  23. Beneventi, G.B.; Gnani, E.; Gnudi, A.; Reggiani, S.; Baccarani, G. Optimization of a Pocketed Dual-Metal-Gate TFET by Means of TCAD Simulations Accounting for Quantization-Induced Bandgap Widening. IEEE Trans. Electron Devices 2015, 62, 44–51. [Google Scholar]
  24. Liu, W.; Bi, S.; Song, J. Novel Ge-Based Plasma TFET with High Rectification Efficiency for 2.45 GHz Microwave Wireless Weak Energy Transmission. Micromachines 2024, 15, 117. [Google Scholar] [CrossRef]
  25. Chen, Z.-X.; Liu, W.-J.; Liu, J.-N.; Wang, Q.-H.; Zhang, X.-G.; Xu, J.; Li, Q.-H.; Bai, W.; Tang, X.-D. DC and analog/RF performance of C-shaped pocket TFET (CSP-TFET) with fully overlapping gate. Chin. Phys. B 2022, 31, 058501. [Google Scholar] [CrossRef]
  26. Manikanta, K.; Nanda, U.; Pandey, C.K. Physics based model development of a double gate reverse T-shaped channel TFET including 1D and 2D band-to-band tunneling components. Microelectron. J. 2024, 144, 106100. [Google Scholar] [CrossRef]
  27. Damrongplasit, N.; Kim, S.-H.; Liu, T.-J.K. Study of Random Dopant Fluctuation Induced Variability in the Raised-Ge-Source TFET. IEEE Electron Device Lett. 2013, 34, 184–186. [Google Scholar] [CrossRef]
  28. Biswas, A.; Dan, S.S.; Le Royer, C.; Grabinski, W.; Ionescu, A.M. TCAD simulation of SOI TFETs and calibration of non-local band-to-band tunneling model. Microelectron. Eng. 2012, 98, 334–337. [Google Scholar] [CrossRef]
  29. Hellings, G.; Eneman, G.; Krom, R.; De Jaeger, B.; Mitard, J.; De Keersgieter, A.; Hoffmann, T.; Meuris, M.; De Meyer, K. Electrical TCAD Simulations of a Germanium pMOSFET Technology. IEEE Trans. Electron Devices 2010, 57, 04FD05. [Google Scholar] [CrossRef]
  30. Yang, Z.-N. Tunnel Field-Effect Transistor With an L-Shaped Gate. IEEE Electron Device Lett. 2016, 37, 839–842. [Google Scholar] [CrossRef]
  31. Singh, A.K.; Tripathy, M.R.; Baral, K.; Singh, P.K.; Jit, S. Investigation of DC, RF and linearity performances of a back-gated (BG) heterojunction (HJ) TFET-on-selbox-substrate (STFET): Introduction to a BG-HJ-STEFT based CMOS inverter. Microelectron. J. 2020, 104775. [Google Scholar] [CrossRef]
  32. Kao, K.-H.; Verhulst, A.S.; Vandenberghe, W.G.; Sorée, B.; Groeseneken, G.; De Meyer, K. Direct and Indirect Band-to-Band Tunneling in Germanium-Based TFETs. IEEE Trans. Electron Devices 2012, 59, 292–301. [Google Scholar]
  33. Ding, X.; Zhang, H.; Wang, X.; Zhou, X.; Lee, C.; Zhao, Y. 8F2 Ternary Content Addressable Memory Array Utilizing Interface Passivated Ge Memory-Diodes With 2 × 105 Self-Rectifying Ratio. IEEE Electron Device Lett. 2024, 45, 833–836. [Google Scholar]
  34. Jhan, Y.-R.; Wu, Y.-C.; Wang, Y.-L.; Lee, Y.-J.; Hung, M.-F.; Lin, H.-Y.; Chen, Y.-H.; Yeh, M.-S. Low-Temperature Microwave Annealing for Tunnel Field-Effect Transistor. IEEE Electron Device Lett. 2015, 36, 105–107. [Google Scholar]
  35. Liao, J.; Zeng, B.; Sun, Q.; Chen, Q.; Liao, M.; Qiu, C.; Zhang, Z.; Zhou, Y. Grain Size Engineering of Ferroelectric Zr-doped HfO2 for the Highly Scaled Devices Applications. IEEE Electron Device Lett. 2019, 40, 1868–1871. [Google Scholar]
  36. Raley, A.; Lee, J.; Smith, J.T.; Sun, X.; Farrell, R.A.; Shearer, J.; Xu, Y.; Ko, A.; Metz, A.W.; Biolsi, P.; et al. Self-aligned blocking integration demonstration for critical sub-30-nm pitch Mx level patterning with EUV self-aligned double patterning. J. Micro/Nanolithogr. MEMS MOEMS 2019, 18, 011002. [Google Scholar]
  37. Lee, G.; Yang, J.; Yeom, M.J.; Yoon, S.; Yoo, G. Effects of a Spike-Annealed HfO2 Gate Dielectric Layer on the On-Resistance and Interface Quality of AlGaN/GaN High-Electron-Mobility Transistors. Electronics 2024, 13, 2783. [Google Scholar] [CrossRef]
  38. Lee, R.; Lee, J.; Lee, K.; Kim, S.; Ahn, H.; Kim, S.; Kim, H.-M.; Kim, C.; Lee, J.-H.; Kim, S.; et al. Vertically-Stacked Si0.2Ge0.8 Nanosheet Tunnel FET With 70 mV/Dec Average Subthreshold Swing. IEEE Electron Device Lett. 2021, 42, 962–965. [Google Scholar]
  39. Pan, J.; Canaperi, D.; Jammy, R.; Steen, M.; Pellerin, J.; Lin, M.R. CVD rhenium and PVD tantalum gate MOSFETs fabricated with a replacement technique. IEEE Electron Device Lett. 2004, 25, 775–777. [Google Scholar]
  40. Zhao, Y.; Wu, C.; Huang, Q.; Chen, C.; Zhu, J.; Guo, L.; Jia, R.; Lv, Z.; Yang, Y.; Li, M.; et al. A Novel Tunnel FET Design Through Adaptive Bandgap Engineering With Constant Sub-Threshold Slope Over 5 Decades of Current and High ION/IOFF Ratio. IEEE Electron Device Lett. 2017, 38, 540–543. [Google Scholar]
  41. Nakashima, A.; Kimura, M. Mechanism Analysis of Off-Leakage Current in an LDD Poly-Si TFT Using Activation Energy. IEEE Electron Device Lett. 2011, 32, 764–766. [Google Scholar]
  42. Han, T.; Liu, H.; Chen, S.; Wang, S.; Li, W. Design and Investigation of the High Performance Doping-Less TFET with Ge/Si0.6Ge0.4/Si Heterojunction. Micromachines 2019, 10, 424. [Google Scholar] [CrossRef]
  43. Wang, D.; Liu, H.; Zhang, H.; Cai, M.; Lin, J. Modeling and Simulation Investigation of Ferroelectric-Based Electrostatic Doping for Tunnelling Field-Effect Transistor. Micromachines 2023, 14, 672. [Google Scholar] [CrossRef]
  44. Wang, Y.; Li, C.; Li, O.; Cheng, S.; Liu, W.; You, H. Simulation Study of Dual Metal-Gate Inverted T-Shaped TFET for Label-Free Biosensing. IEEE Sens. J. 2022, 22, 18266–18272. [Google Scholar]
  45. Xie, H.-W.; Liu, H.-X. Design and investigation of a dual source and U-shaped gate TFET with n buffer and SiGe pocket. AIP Adv. 2020, 10, 055125. [Google Scholar]
  46. Rasheed, G.; Sridevi, S. Design of 7T SRAM Using InGaAs-Dual Pocket-Dual Gate-Tunnel FET for IoT Applications. IEEE Access 2023, 11, 76034–76045. [Google Scholar]
Figure 2. Suggested fabrication flow of SGO MS TFET.
Figure 2. Suggested fabrication flow of SGO MS TFET.
Micromachines 16 00375 g002
Figure 3. (a) The off-state electric fields and (b) transfer characteristics of the normal MS TFET and SGO MS TFET.
Figure 3. (a) The off-state electric fields and (b) transfer characteristics of the normal MS TFET and SGO MS TFET.
Micromachines 16 00375 g003
Figure 4. (a) Band-to-band generation and (b) surface hole concentration of normal MS TFET and SGO MS TFET at V G S = 0 V and V D S = 1 V.
Figure 4. (a) Band-to-band generation and (b) surface hole concentration of normal MS TFET and SGO MS TFET at V G S = 0 V and V D S = 1 V.
Micromachines 16 00375 g004
Figure 5. (a) eCurrentDensity and (b) surface band diagram of normal MS TFET and SGO MS TFET at V G S = 0 V and V D S = 1 V.
Figure 5. (a) eCurrentDensity and (b) surface band diagram of normal MS TFET and SGO MS TFET at V G S = 0 V and V D S = 1 V.
Micromachines 16 00375 g005
Figure 6. (a) I D S - V G S for Different T S o x i d e Values. (b) Variation of SS and I o n / I o f f Ratio with T S o x i d e in SGO MS TFETs.
Figure 6. (a) I D S - V G S for Different T S o x i d e Values. (b) Variation of SS and I o n / I o f f Ratio with T S o x i d e in SGO MS TFETs.
Micromachines 16 00375 g006
Figure 7. Energy band diagrams corresponding to different (a) T S o x i d e and (b) L S o x i d e values.
Figure 7. Energy band diagrams corresponding to different (a) T S o x i d e and (b) L S o x i d e values.
Micromachines 16 00375 g007
Figure 8. (a) I D S - V G S for Different L S o x i d e Values. (b) Variation of SS and I o n / I o f f Ratio with L S o x i d e in SGO MS TFETs.
Figure 8. (a) I D S - V G S for Different L S o x i d e Values. (b) Variation of SS and I o n / I o f f Ratio with L S o x i d e in SGO MS TFETs.
Micromachines 16 00375 g008
Figure 9. (a) Off-state and (b) on-state total gate capacitance of SGO MS TFET, normal MS TFET, and LDD MS TFET.
Figure 9. (a) Off-state and (b) on-state total gate capacitance of SGO MS TFET, normal MS TFET, and LDD MS TFET.
Micromachines 16 00375 g009
Figure 10. (a) Carrier mobility (eMobility) of the SGO MS TFET and LDD MS TFET. (b) Carrier mobility (eMobility) at the A-A’ cross-section.
Figure 10. (a) Carrier mobility (eMobility) of the SGO MS TFET and LDD MS TFET. (b) Carrier mobility (eMobility) at the A-A’ cross-section.
Micromachines 16 00375 g010
Figure 11. (a) Electron current density (eCurrentDensity) of the SGO MS TFET and LDD MS TFET. (b) Electron current density (eCurrentDensity) at the B-B’ cross-section.
Figure 11. (a) Electron current density (eCurrentDensity) of the SGO MS TFET and LDD MS TFET. (b) Electron current density (eCurrentDensity) at the B-B’ cross-section.
Micromachines 16 00375 g011
Table 1. Device structure parameters.
Table 1. Device structure parameters.
ParameterNormal MS TFETSGO MS TFET
Gate length ( L c h )40 nm40 nm
Suppression oxide thickness ( T S oxide )-3–15 nm
Suppression oxide length ( L S oxide )-0–25 nm
Gate work function4.5 eV4.5 eV
Source doping1 × 10 20 /cm31 × 10 20 /cm3
Drain/channel doping1 × 10 19 /cm31 × 10 19 /cm3
Device layer thickness ( T c h )7 nm7 nm
Control oxide thickness ( T C oxide )3 nm3 nm
Table 2. Performance comparison between conventional MS TFET and SGO MS TFET.
Table 2. Performance comparison between conventional MS TFET and SGO MS TFET.
ParameterNormal MS TFETSGO TFETImprovement
I o f f (A)4.6 × 10 7 2.6 × 10 11 Reduced by 4.25 orders of magnitude
I o n (A)2.9 × 10 5 3.0 × 10 5 No significant loss
I o n / I o f f Ratio0.6 × 10 2 1 × 10 6 Increased by 4.22 orders of magnitude
SS (mV/dec)11986Reduced by 28%
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Chen, R.; Wang, L.; Han, R.; Liao, K.; Shi, X.; Zhang, P.; Hu, H. A Stepped Gate Oxide Structure for Suppressing Gate-Induced Drain Leakage in Fully Depleted Germanium-on-Insulator Multi-Subchannel Tunneling Field-Effect Transistors. Micromachines 2025, 16, 375. https://doi.org/10.3390/mi16040375

AMA Style

Chen R, Wang L, Han R, Liao K, Shi X, Zhang P, Hu H. A Stepped Gate Oxide Structure for Suppressing Gate-Induced Drain Leakage in Fully Depleted Germanium-on-Insulator Multi-Subchannel Tunneling Field-Effect Transistors. Micromachines. 2025; 16(4):375. https://doi.org/10.3390/mi16040375

Chicago/Turabian Style

Chen, Rui, Liming Wang, Ruizhe Han, Keqin Liao, Xinlong Shi, Peijian Zhang, and Huiyong Hu. 2025. "A Stepped Gate Oxide Structure for Suppressing Gate-Induced Drain Leakage in Fully Depleted Germanium-on-Insulator Multi-Subchannel Tunneling Field-Effect Transistors" Micromachines 16, no. 4: 375. https://doi.org/10.3390/mi16040375

APA Style

Chen, R., Wang, L., Han, R., Liao, K., Shi, X., Zhang, P., & Hu, H. (2025). A Stepped Gate Oxide Structure for Suppressing Gate-Induced Drain Leakage in Fully Depleted Germanium-on-Insulator Multi-Subchannel Tunneling Field-Effect Transistors. Micromachines, 16(4), 375. https://doi.org/10.3390/mi16040375

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop